전체 (검색결과 약 94개 중 2페이지)

 P.L.C - Programmable Logic Controller에 대해서 ( 17Pages )
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic Controller의 약자 즉, 논리적 연산 프로그래밍이 가능한 조정장치 PLC는 종래에 사용하던 제어반 내의 릴레이(relay)타이머, 카운터 등의 기능을 LSI(고밀도 집적 회로)·트랜지스터 등의 반..
리포트 > 공학/기술 |
 메카트로닉 - plc[Programmable Logic Controller]에 대해서 ( 17Pages )
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic Controller의 약자 즉, 논리적 연산 프로그래밍이 가능한 조정장치 PLC는 종래에 사용하던 제어반 내의 릴레이(relay)타이머, 카운터 등의 기능을 LSI(고밀도 집적 회로)·트랜지스터 등의 반..
리포트 > 공학/기술 |
 사회 복지 조사론 - 논리 모델[Logic model] ( 8Pages )
사회 복지 조사론 - 논리 모델(Logic model)   프로그램 논리 모델은 목표와 결과 사이의 관계를 설명하는 도식이다. 이러한 프로그램의 논리 모델은 다음의 세 가지 면에서 유용하게 사용된다.   ① 다양한 프로그램들의 목적과 목표들을 명확하게 만들고자 하는 프로그램 계획가, 직원, 제3자들에게 도움이 된다. ② 프로그램이 진척되는 동안의 프로그램 운영 차트를 보여주는 편리한 시각적 장치이다. ③ ..
리포트 > 생활/환경 |
 Putting Global Logic First(범세계적 논리를 우선시켜야) ( 2Pages )
Putting Global Logic First(범세계적 논리를 우선시켜야) Kenichi Ohmae(겐니치 오마에} 애덤스미스 시대에는 중앙국가(nation-state)의 정치적인 국경에 의해 주어지고 결정되는 환경하에서 경제활동이 이루어졌었다. 그러나 오늘날에는 경제활동이 정책결정의 가장 큰 관심사이고 정치제도를 포함한 다른 모든 조직들은 이렇게 주어진 환경하에서 작동한다. 이는 18~19세기에 인위적으로 만들어진 중앙..
리포트 > 인문/어학 |
인문, 어학
 abap 프로그래밍 ( 143Pages )
abap의 프로그램 내용과 DB활용기술 내용 1. DDic 관련 사항. 2. Report Program 3. 화면 구성요소 4. Module-pool Program. 5. Function module 6. Logical database. 7. 이것저것 8. 유용한 프로그램. .
정보/기술 > 메뉴얼 |
abap, SAP, ERP, ABAP
 정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정 ( 20Pages )
집적회로(VLSI)의 설계 과정 VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계 후반부 : Layout 데이터 생성 2.1 상위 레벨 합성(High Level Synthesis) 2비트 입력 4비트 출력 X(0) F(0) X(1) F(1) Y(0) F(2) Y(1) F(3) (a) 설계 사양의 블록도 (b) 설계 사양의 입출력 library ieee; use ieee.st..
리포트 > 공학/기술 |
 종교, 논리, 그리고 수학(Religion, Logic, and Math)에 관해서 ( 5Pages )
[Religion, Logic, and Math] Abstract  종교는 논리가 설명하지 못하는 질문들에 대한 답을 주기 위해 발생했다. 또한, 논리는 그런 종교가 점차 체계를 지니고 그 역할을 수행할 수 있도록 방향을 제시해주었다. 종교와 논리가 서로 배타적인 관계에서 서로를 비난하고 부정하는 논쟁이 계속되고 있지만, 이 둘은 사실은 이렇게 상호보완적이고 발전지향적인 관계에 있는 것이다.   이 두 분야의 교집..
리포트 > 사회과학 |
 PLC의 분기별 시장동향 ( 3Pages )
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기 1995년 1분기부터 2002년 3분기까지 분기별 PLC의 생산, 출하(내수, 수출) 재고 현황 및 전년대비 증감율 표와 그래프 표기
비지니스 > 경제동향 |
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
 PLC의 월별 시장동향 ( 4Pages )
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기 1995년 1월부터 2002년 10월까지 월별 PLC의 생산, 출하(내수, 수출) 재고 현황 및 전년대비 증감율 표와 그래프 표기
비지니스 > 경제동향 |
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
 논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 ( 13Pages )
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 : ps_start - 종료버튼 : ps_end - 수정버튼 : ps_mod - 비밀번호 : ps_num ② 출력 - 문 열림 : door_open - 알람 : alarm ③ 상태 -ready -in..
리포트 > 자연과학 |
 디지털 공학 BCD adder Encoder ( 8Pages )
-목차- chapter1 Contents···003 chapter2 Logic gate···005 chapter3 Simulation···006 chapter4 Picture···006 chapter5 Etc···006 1. Contents 1) BCD Adder Binary Sum BCD Sum Decimal 000100001100010000102000110001130010000100400101001015001100011060011100111701000010008010010100190101010000 10 0101110001 11 0110010 ....
리포트 > 공학/기술 |
 복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 ( 6Pages )
DESIGN REPORT 복잡한 회로 설계 - 4비트 가산기 - 과 목 : 학 과 : 학 번 : 이 름 : 제출일자: 1. 4bit Adder 소개 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의 캐리 출력은 다음 상위 가산기의 캐리 입력이 된다. 2. 설계 ..
리포트 > 공학/기술 |
 [디지털 회로 설계] 4-Bit D Flip Flop 설계 ( 8Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) ..
리포트 > 공학/기술 |
 듀오,듀오마케팅전략,듀오기업분석,결혼정보회사분석 ( 15Pages )
결혼은 美친 짓이다. - 인간 본능을 자극한 서비스 [듀오] 목 차 1. 서론 1-1 듀오 기업 소개……… 3 1-2 창업 ……… 3 1-3 결혼 정보업……… 3 1-4 듀오의 현재……… 4 2. 본론 2. 듀오 혁신 전 상황 ……… 6 3. 듀오 혁신 과정 ……… 7 1) 무형성 극복 2) 비일관성 제고불능성 극복 3) 비일관성 극복 4) G.D LOGIC -] S.D LOGIC 3. 결론 혁신결과 ……… 14 제안 ……… 14 참고 문헌 ……… 15 1. 기업소..
리포트 > 경영/경제 |
 디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인 ( 6Pages )
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory * 전파지연시간(propagation delay) 신호 값의 변화가 입력에서 출력까지 전달되는 데 걸리는 시간 작동시간은 전파지연의 역의 관계임 논리설계에서 가장 중요한 사항임 하강지연시간(t..
리포트 > 공학/기술 |
1 2 3 4 5 6 7