디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인
리포트 > 공학/기술
디지털논리회로 - VHDL을 이용한 inertial delay와 trans..
MS워드
2014.05.23
6페이지
1. 디지털논리회로 - VHDL을 이용한 inertial ..
2. 디지털논리회로 - VHDL을 이용한 inertial ..
디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다.
3. Theory
* 전파지연시간(propagation delay)
신호 값의 변화가 입력에서 출력까지 전달되는 데 걸리는 시간
작동시간은 전파지연의 역의 관계임
논리설계에서 가장 중요한 사항임
하강지연시간(tPHL)
출력이 논리 1에서 논리 0으로 변화하는 데 소요되는 시간
상승지연시간 (tPLH)
출력이 논리 0에서 논리 1로 변화하는 데 소요되는 시간
전파지연 (tpd) 은 두 가지 지연 값 중 최대값으로 정의
시뮬레이션에서 게이트의 모델링에 사용되는 지연시간
전달지연(transport delay)
- 입력에서의 변화에 의한 출력의 변화는 항상 정해진 전파지연 후에 나타남
관성지연(inertial delay)
- 입력에서의 두 번의 변화에 의한 출력에서의 두 번의 변화가 있을 때, 이 두 번의 변화 폭이 거절 시간보다 작으면 출력의 두 번 변화의 첫 번째가 나타나지 않음

[그림1. inverter(not gate)에 의한 전파 지연]
4. Data Result
(1) VHDL code
1. transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func;

architecture behave_signal of bool_func is
signal not_out : std_logic;
....
[디지털 회로설계] VHDL을 통한 Gray Code 설계 고급디지털 회로설계 - 111 DETECTOR 설계
논리회로설계 - vhdl을 이용한 도어락(door loc.. [디지털시스템] VHDL을 이용하여 ALU[Arithmeti..
디지털논리회로 실습 보고서 - 논리식의 간소화 [디지털논리회로] TTL[Transistor Transistor L..
[전자공학과] VHDL[VHSIC HardwareDescription .. 디지털논리회로 - 고속 동작 곱셈기 설계
디지털논리회로 실습 보고서 - 인코더와 디코더 [디지털 회로설계] Moore , Mealy Type Finite ..
디지털 논리회로 설계 및 실습 - 논리 프로브 .. [전기전자회로실험] 디지털 논리 관련 설계자료
[디지털 회로설계] 1-Bit Full Adder를 통한 4-.. 디지털논리회로 실습 보고서 - 가산기와 감산기
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석