논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
리포트 > 자연과학
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
한글
2014.03.27
13페이지
1. 논리회로설계 - vhdl을 이용한 도어락(door..
2. 논리회로설계 - vhdl을 이용한 도어락(door..
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계

1. 도어락의 개요

도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다.

2. 입력, 출력 및 상태

① 입력
- 클럭 : clk
- 리셋 : rst
- 입력버튼 : ps_start
- 종료버튼 : ps_end
- 수정버튼 : ps_mod
- 비밀번호 : ps_num

② 출력
- 문 열림 : door_open
- 알람 : alarm

③ 상태
-ready
-in_start
-in_end
-door_con
-end_state
-mod_state

3. 동작원리

digital door rock 입력모드
- door rock의 키패드에서 암호를 입력받아 문을 열게 하는 모드
- 입력버튼을 누르면 입력모드로 설정됨
- 암호를 입력받아 수정모드에서 설정된 암호와 틀리면 다시 입력버튼을 눌러서
암호를 입력해야 함

digital door rock 수정모드
- door rock의 비밀번호를 수정하는 모드
- 수정버튼을 누르면 수정모드로 설정됨
- 처음에 4개의 비밀번호를 입력
그 뒤 4개의 새로운 비밀번호를 입력

알람 작동
- 입력이 일정횟수가 틀리면 알람이 작동함

문이 열리고 일정시간이 흐를 경우
- 문이 열리고 일정 시간이 흐르면 문이 닫힘

4. 다이어그램

5 소스 코드

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

entity door_lock is
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic := 0 ;
....
디지털논리회로 - VHDL을 이용한 inertial dela.. [디지털시스템] VHDL을 이용하여 ALU[Arithmeti..
[디지털 회로설계] VHDL을 통한 Gray Code 설계 고급디지털 회로설계 - 111 DETECTOR 설계
디지털 회로설계 - 고속 동작 덧셈기 설계 디지털논리회로 - 고속 동작 곱셈기 설계
2018 영화이야기 [디지털 회로설계] 1-Bit Full Adder를 통한 4-..
[전기전자회로실험] 디지털 논리 관련 설계자료 디지털논리회로 실습 보고서 - 논리식의 간소화
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [디지털 회로설계] 4-Bit D Flip Flop 설계
논리회로설계 - 자판기 설계에 대해 논리게이트 - VHDL 설계 언어 실습
 
태양계와 대우주에 관한 보고서
[일반화학실험] HPCL을 이용한..
물리실험보고서 - 포물선운동 ..
미생물 배양, 배지 설명, 배지..
[솔루션] 원성필 열역학 2009..
뉴톤의 제2법칙과 가속도 측정