정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
리포트 > 공학/기술
정보통신 설계 - 집적 회로(Very Large Scale Integrated..
한글
2014.09.26
20페이지
1. 정보통신 설계 - 집적 회로(Very Large Sca..
2. 정보통신 설계 - 집적 회로(Very Large Sca..
정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정
집적회로(VLSI)의 설계 과정

VLSI 설계
전반부 : Gate Level 설계
후반부 : Layout 데이터 생성

최근의 설계
전반부 : 동작적 설계(Behavioral Design) ==] Gate Level 설계
후반부 : Layout 데이터 생성

2.1 상위 레벨 합성(High Level Synthesis)

2비트 입력 4비트 출력
X(0) F(0)
X(1) F(1)
Y(0) F(2)
Y(1) F(3)

(a) 설계 사양의 블록도 (b) 설계 사양의 입출력

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity exam is
port(X, Y : in std_logic_vector(1 downto 0);
F : out std_logic_vector(3 downto 0));
end exam;

architecture data_flow of exam is
begin
F [= (X * X) + (X * Y) + (Y * Y);
end data_flow;

(c) VHDL로 표현된 설계 사양
그림 2.1.1 설계 사양

(a)

(b)
그림 2.1.2 CDFG로 표현된 중간 형태

(a) 멀티사이클링 (b) 체이닝
....
뉴미디어 시대의 도래 배경에 대하여 LG디스플레이 공정장비 직무 첨삭자소서
혼성집적회로의 분기별 시장동향 혼성집적회로의 월별 시장동향
전자집적회로 제조업의 시장동향 [세세분류]국내 전자집적회로제조업의 산업동향..
전자 계산기 전자계산기
[전자회로실험] Orcad 실험 [소분류]국내 반도체및집적회로제조업의 산업동..
06년 국내 혼성집적회로의 시장동향(2000년부터.. 06년 국내 모스집적회로의 시장동향(2000년부터..
06년 국내 바이폴라집적회로의 시장동향(2000년.. VHDL의모든것
 
공조설비설계 1층 덕트 계산
공조설비설계 물량산출-덕트
수준측량 보고서
기본측량학실습 - 수준측량
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임