전체 (검색결과 약 755개)

 P.L.C - Programmable Logic Controller에 대해서 ( 17Pages )
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic Controller의 약자 즉, 논리적 연산 프로그래밍이 가능한 조정장치 PLC는 종래에 사용하던 제어반 내의 릴레이(relay)타이머, 카운터 등의 기능을 LSI(고밀도 집적 회로)·트랜지스터 등의 반..
리포트 > 공학/기술 |
 메카트로닉 - plc[Programmable Logic Controller]에 대해서 ( 17Pages )
P . L . C -Programmable Logic Controller 목 차 PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC) 2 P.L.C의 정의 PLC는 Programmable Logic Controller의 약자 즉, 논리적 연산 프로그래밍이 가능한 조정장치 PLC는 종래에 사용하던 제어반 내의 릴레이(relay)타이머, 카운터 등의 기능을 LSI(고밀도 집적 회로)·트랜지스터 등의 반..
리포트 > 공학/기술 |
 PLC의 분기별 시장동향 ( 3Pages )
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기 1995년 1분기부터 2002년 3분기까지 분기별 PLC의 생산, 출하(내수, 수출) 재고 현황 및 전년대비 증감율 표와 그래프 표기
비지니스 > 경제동향 |
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
 PLC의 월별 시장동향 ( 4Pages )
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기 1995년 1월부터 2002년 10월까지 월별 PLC의 생산, 출하(내수, 수출) 재고 현황 및 전년대비 증감율 표와 그래프 표기
비지니스 > 경제동향 |
Programmable Logic Controller, Programmable, Logic, Controller, P L C, p, l, c, 프로그램, 기능, 제어기, 제어, 기능제어, 프로그램제어, 프로그램기능, 프로그램제어기, 프로그램기능기, 프로그램제어기능, 프로그램기능제어, 프로그램제어기능기, 프로그램기능제어기
 plc개요[1]..pdf ( 5Pages )
PLC의 개요 1.PLC의 정의 PLC(Programmable Logic Controller)는 “Process 혹은 Equipment 의 제어를 위한 논리연산, Sequence 제어, 지연, 계산 및 산술연산등의 제어동작을 시키기 위해 , 제어순서를 일련의 명령어 형식으로 기억하는 메모리를 갖고, 이 메모리의 내용에 따라 디지털, 아날로그의 입출력 모듈을 통해 여러가지 기계와 프로세스를 제어하는 디지털 조작형 전자장치”를 말한다. 여기서 Sequ..
정보/기술 > 토목/건축 |
plc개요[1]..pdf
 자동화 응용실험 - PLC의 정의, PLC 출연 배경, PCL 적용사례, 유압밸브 종류 ( 5Pages )
1. PLC의 정의 Programmable Logic Controller의 약자로 간단히 PLC라고도 하며 Programmable Controller 또는 Sequencer라 한다. 제어반 내의 보조 릴레이, 타이머, 카운터등을 IC, Tr등의 집적 소자로 대체시켜 시퀸스 제어를 기본 기능으로 하고 데이터 연산 및 산술연산, 데이터 전송등의 기능 추가로 Feedback 제어도 가능하게 프로그래머블한 제어장치로 FMS(Flexible Manufacturing System), FA(Fac..
리포트 > 공학/기술 |
 기계공학실험 - 자동화 실험 ( 10Pages )
1. 실험 목적 전기를 이용한 기계의 구동에는 많은 방식들이 현재 존재하고 지금도 많이 개발 및 이용되고 있다. 공장뿐만 아니라 일반 가정 및 빌딩 등의 건물에도 능동화 된 많은 전기시설들이 존재하고 이를 좀 더 간편하고 효율적으로 제어하고자 하는 노력이 계속되어왔다. 과거의 전기시설들은 접점제어방식, 일명 릴레이 제어방식이라는 것을 이용하여 제작 운용되어 왔다. 2. 실험 목표 총 5가..
리포트 > 공학/기술 |
 [디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) ( 13Pages )
Digital Logic Design Project - Smart Traffic Light Controller - ∙목차 - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan Result - Simulation results in waveform - Conclusions Comments 1. Specification of STLC - Local road에 차가 없거나 건널목을 건너려는 보행자가 없는 경우, highway의 신호가 green..
리포트 > 공학/기술 |
 컴퓨터 네트워크 보안 확보를 위한 접근통제 ( 8Pages )
컴퓨터 네트워크 보안 확보를 위한 접근통제 (Access Control) 1. 들어가며 대부분의 컴퓨터 시스템에 있어서 다양한 컴퓨터 자원을 사용하기 위해서 요구되는 사항은 천차만별이다. 예를 들어 어떤 정보는 모든 사용자가 접근가능하고 어떤 것은 몇몇 그룹 혹은 부서에서 필요로 하며 또한 극소수의 사람만이 접근할 수 있는 것도 있다. 사용자는 자신의 업무를 수행하는데 필요한 정보에는 접근해야 하..
리포트 > 공학/기술 |
 퍼지 제어이론 ( 13Pages )
퍼지 제어이론 1 개요 퍼지이론은 1965년 L. A. Zadeh 에 의해 처음으로 소개된 후 많은 분야에서 빠르게 응용되어지고 있다. 기존의 디지털 논리체계는 0과 1의 의미가 확실한 반면, 퍼지 논리는 어떤 집합에 완전히 속하면 1, 전혀 속하지 못하면 0, 이와 다른 경우에는 0과 1사이의 값으로 표현하며 즉, 인간이 가질 수 있는 애매 모호한 상황을 정량화하여 나타내는 것이다. 이는 기존의 논리 체계..
리포트 > 공학/기술 |
 마이크로프로세서응용 실험 - 프로그램이 가능한 타이머(PIT) ( 13Pages )
1. 제목 - Lab8. 프로그램이 가능한 타이머(PIT) 2. 목적 - 프로그래밍이 가능한 타이머(PIT: Programmable Interval Timer)의 동작과 사용 방법 이해한다. - PIT의 여섯 종류의 동작 modes를 살펴보고 각 mode 사이에 어떤 차이가 있는지 살펴본다. - PIT를 카운터(counter) 기능으로 사용할 경우의 동작을 이해한다. - PIT의 시스템(KIT) 내에서의 연결 관계를 이해한다. 3. 실험결과 및 분석 [St..
리포트 > 공학/기술 |
 LSI Logic Storage 제안서 ( 79Pages )
LSI Logic사의 스토리지에 대한 상세 제안서 LSI Logic사의 스토리지에 대한 상세 제안서로 약 80여 페이지 분량이며, NAS, DAS, SAN 등 모든 방식의 스토리지에 대해 기술되어 있다. 1. LSI Logic Corp. 소개 2. LSI Logic Storage Systems, Inc 소개 3. 아라리온㈜ 소개 4. MetaStor E-Series 스토리지 솔루션 4.1 E4400 4.2 E4600 4.3 CSD 5. 별첨 - 보도자료 / 제안문의
비지니스 > 제안서 |
 전자공학 논리회로 실험 - Logic 연산과 Gates ( 6Pages )
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2. OR gate AB A+B 5V 5V 4.54V 5V 0V 4.51V 0V 5V 4.51V 0V 0V 0V 오차 및 결과 오차 : And Input에 거짓=0V가 걸리면 Output에 거짓=0V가 걸려 야하나. Diode 전압강하 특징으로 0.64V의 전..
리포트 > 공학/기술 |
 국내 Logics(non-Microcom)의 시장동향(2005년까지) [PDF] ( 5Pages )
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 Logics(non-Microcom)에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 국내 Logics(non-Microcom)의 2005년까지 생산액(백만원), 2005년까지 수출액(천US$), 2005년까지 수입액(천US$), 2005년까지 무역수지(천US$)이 기술되어 있습니다. 통계 중심으로 작성되..
비지니스 > 경제동향 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10