복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
리포트 > 공학/기술
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
한글
2014.03.27
6페이지
1. 복잡한 회로 설계 - [VHDL] 4비트 가산기 ..
2. 복잡한 회로 설계 - [VHDL] 4비트 가산기 ..
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
DESIGN
REPORT

복잡한 회로 설계

- 4비트 가산기 -

과 목 :

학 과 :

학 번 :

이 름 :

제출일자:

1. 4bit Adder 소개

4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다.
기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다.
두 개의 입력 신호는 , 로 주어지며, 각 가산기의 캐리 출력은 다음 상위 가산기의 캐리 입력이 된다.

2. 설계 내용

▼ 설계 방법

4비트 가산기는 비트 단위의 Adder 4개를 병렬로 합쳐 놓은 것으로 단위 Adder를 먼저 설계한 후 컴포넌트문을 사용한 구조적 표현으로 코딩할 수 있다.

▼ Bit Adder의 코드 내용

LIBRARY ieee; USE ieee.std_logic_1164.all; -- Library와 Package선언

ENTITY bitadder IS
PORT (A1, B1, CIN : IN std_logic;
COUT, SUM1 : OUT std_logic); -- 입출력 포트 선언
END bitadder;

ARCHITECTURE sample OF bitadder IS
SIGNAL S1, S2, S3 : std_logic; -- 신호 선언
BEGIN
S1 [= A1 XOR B1;
S2 [= A1 AND B1;
S3 [= CIN AND s1;
....
[VHDL] Digital stop watch[디지털 스톱 워치] .. 고급디지털 회로설계 - 111 DETECTOR 설계
가산기 반가산기및전가산기
논리회로 설계 및 실험 - 가산기와 감산기 논리회로 설계- 디코더, 인코더에 대해서
논리회로 설계 - 디코더 인코어 보고서 [디지털 회로설계] VHDL을 통한 Gray Code 설계
논리회로설계 - vhdl을 이용한 도어락(door loc.. [디지털시스템] VHDL을 이용하여 ALU[Arithmeti..
[전자공학과] VHDL[VHSIC HardwareDescription .. GATE 논리회로
정보통신 설계 - 집적 회로(Very Large Scale I.. [디지털 회로 설계] 4-Bit D Flip Flop 설계
 
공조설비설계 1층 덕트 계산
공조설비설계 물량산출-덕트
수준측량 보고서
기본측량학실습 - 수준측량
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임