전체 (검색결과 약 94개)

 LSI Logic Storage 제안서 ( 79Pages )
LSI Logic사의 스토리지에 대한 상세 제안서 LSI Logic사의 스토리지에 대한 상세 제안서로 약 80여 페이지 분량이며, NAS, DAS, SAN 등 모든 방식의 스토리지에 대해 기술되어 있다. 1. LSI Logic Corp. 소개 2. LSI Logic Storage Systems, Inc 소개 3. 아라리온㈜ 소개 4. MetaStor E-Series 스토리지 솔루션 4.1 E4400 4.2 E4600 4.3 CSD 5. 별첨 - 보도자료 / 제안문의
비지니스 > 제안서 |
 전자공학 논리회로 실험 - Logic 연산과 Gates ( 6Pages )
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2. OR gate AB A+B 5V 5V 4.54V 5V 0V 4.51V 0V 5V 4.51V 0V 0V 0V 오차 및 결과 오차 : And Input에 거짓=0V가 걸리면 Output에 거짓=0V가 걸려 야하나. Diode 전압강하 특징으로 0.64V의 전..
리포트 > 공학/기술 |
 국내 Logics(non-Microcom)의 시장동향(2005년까지) [PDF] ( 5Pages )
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 Logics(non-Microcom)에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 국내 Logics(non-Microcom)의 2005년까지 생산액(백만원), 2005년까지 수출액(천US$), 2005년까지 수입액(천US$), 2005년까지 무역수지(천US$)이 기술되어 있습니다. 통계 중심으로 작성되..
비지니스 > 경제동향 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 Logic Tree를 통한 논리적 사고 연습 ( 38Pages )
Logic Tree를 통한 논리적 사고 연습 프로그램입니다.
정보/기술 > 강의/교재 |
 [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 ( 9Pages )
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각..
리포트 > 경영/경제 |
 논리회로 실험 - 기초 논리 게이트[basic logic gate]와 부울방정식과 드모르간 법칙 ( 7Pages )
실험. Basic Gates I. 목적 - 기본적인 logic gates(AND, OR, NOT, NAND, NOR, XOR)에 대하여 알아보고 이러한 gate들로 구성된 logic 회로에서의 Boolean equation과 De Morgan의 이론에 대하여 알아본다. II. 실 험 결 과 분 석 (1) 3-input AND gate ⇒ Truth table Input Output A (Volt) B (Volt) C (Volt) Y (Volt) 000 5.95 00 5.95 00 5.95 0000 5.95 5.95 0 5.95 0 5.95 0 5.95 5.95 00 5.95 5.95..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 디지털시스템설계 - 신호등 설계 ( 22Pages )
Design Object Design a practical Traffic Light Controller using Traffic Lights Module on HBE-COMBO II Kit Diagram The state of Kit according to Light_direction Traffic signal cycle emergency state VFD, DOT, LED, 7SEG Modified Code [top_traffic.vhd] entity top_traffic is port ( iMclk : in std_logic; iMrst : in std_logic; iswitch : in std_logic; iswitch2 : in std..
리포트 > 공학/기술 |
 VHDL 설계 언어 실습(문법적용) ( 26Pages )
logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a= 1 ) or (b= 1 ) then w [= 1 ; else w [= 0 ; end if; end process; no2: process(b,c) begin if (b= 0 ) or (c= 0 ) then x [= 1 ; else x [= 0 ; end if; end..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 [VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 ( 11Pages )
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용..
리포트 > 공학/기술 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 [디지털논리회로] TTL[Transistor Transistor Logic]에 대해서 ( 21Pages )
TTL(Transistor Transistor Logic) 디지털논리회로 TTL(Transistor-Transistor logic)소자는 디지털회로에서 사용되는 각종 논리용 소자 중에서, 입력을 트랜지스터로 받아들이고, 출력 또한 트랜지스터인 소자를 말한다. TTL의 정의 디지털논리회로 TTL의 특징 가장 많이 사용, 가격 저렴, 동작속도가 빠르다. 팬 아웃(fan-out)이 많이 얻어진다 출력 임피던스도 낮아 현재 가장 품종이 풍부하고 널리 사용..
리포트 > 공학/기술 |
1 2 3 4 5 6 7