[공학] 스탑워치 VHDL 설계
리포트 > 공학/기술
[공학] 스탑워치 VHDL 설계
한글
2013.09.03
10페이지
1. [공학] 스탑워치 VHDL 설계.hwp
2. [공학] 스탑워치 VHDL 설계.pdf
[공학] 스탑워치 VHDL 설계
☉스탑워치 VHDL 설계☉

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity stop is

PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_B : in std_logic;
SW_C : in std_logic;
SW_D : in std_logic;
SEG_DATA : out std_logic_vector(7 downto 0);
SEG_COM : buffer std_logic_vector(7 downto 0)
);
end stop;

architecture arc of stop is

signal mode : std_logic_vector(2 downto 0);
signal SW_A_Q1, SW_A_Q2 : std_logic;
signal SW_B_Q1, SW_B_Q2 : std_logic;
signal SW_C_Q1, SW_C_Q2 : std_logic;
signal SW_D_Q1, SW_D_Q2 : std_logic;
signal msec : integer range 0 to 9999;
signal seg5,seg6 : std_logic_vector(7 downto 0);
signal seg7,seg8 : std_logic_vector(7 downto 0);
signal temp : integer range 0 to 9999;
signal temp1 : integer range 0 to 9999;
signal cnt : integer range 0 to 999;
function seven (display: integer range 0 to 10)
return std_logic_vector is
variable seg_data: std_logic_vector (7 downto 0);
begin
....
System On Chip 설계 및 응용 - 시계 + 스탑워.. 디지털 논리 설계 - Altera Max+plus II 스탑워..
[VHDL] Digital stop watch[디지털 스톱 워치] .. verilog 시계[디지털 논리 회로]
위어[weir] - 위어판에 의하여 수위차를 만들어.. 기초 공학 실험 - Gyroscope의 동특성 실험(결..
점성의 측정 [디지털 회로설계] VHDL을 통한 Gray Code 설계
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 고급디지털 회로설계 - 111 DETECTOR 설계
디지털 회로설계 - 고속 동작 덧셈기 설계 정보통신 설계 - 집적 회로(Very Large Scale I..
논리회로설계 - vhdl을 이용한 도어락(door loc.. [디지털 회로 설계] 4-Bit D Flip Flop 설계
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석