올린글을 확인할 수 있도록 포스팅을 공개로 설정해 주세요.
[공학] 스탑워치 VHDL 설계

☉스탑워치 VHDL 설계☉

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity stop is

PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_B : in std_logic;
SW_C : in std_logic;
SW_D : in std_logic;
SEG_DATA : out std_logic_vector(7 downto 0);
SEG_COM : buffer std_logic_vector(7 downto 0)
);
end stop;

architecture arc of stop is

signal mode : std_logic_vector(2 downto 0);
signal SW_A_Q1, SW_A_Q2 : std_logic;
signal SW_B_Q1, SW_B_Q2 : std_logic;
signal SW_C_Q1, SW_C_Q2 : std_logic;
signal SW_D_Q1, SW_D_Q2 : std_logic;
signal msec : integer range 0 to 9999;
signal seg5,seg6 : std_logic_vector(7 downto 0);
signal seg7,seg8 : std_logic_vector(7 downto 0);
signal temp : integer range 0 to 9999;
signal temp1 : integer range 0 to 9999;
signal cnt : integer range 0 to 999;
function seven (display: integer range 0 to 10)
return std_logic_vector is
variable seg_data: std_logic_vector (7 downto 0);
begin
....

[hwp/pdf][공학] 스탑워치 VHDL 설계
포스팅 주소 입력
  올린글을 확인할 수 있는 포스팅 주소를 입력해 주세요.
  네이버,다음,티스토리,스팀잇,페이스북,레딧,기타 등 각각 4개(20,000p) 까지 등록 가능하며 총 80,000p(8,000원)까지 적립이 가능합니다.