디지털 논리 설계 - Altera Max+plus II 스탑워치 설계
리포트 > 공학/기술
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계
파워포인트
2013.12.10
14페이지
1. 디지털 논리 설계 - Altera Max+plus II 스..
2. 디지털 논리 설계 - Altera Max+plus II 스..
디지털 논리 설계 - Altera Max+plus II 스탑워치 설계
디지털 논리설계

Stop Watch
목 차
개 요
설계내용
결과
시연
개 요
강의시간에 배운 이론을 바탕으로
실제 상품화 될 수 있는 하드웨어를
Altera Max+plus II 프로그램을
사용하여 설계한 팀 프로젝트
결과입니다.
상세회로 구성 블록도
설 계 내 용
입 력
- CLK : 클럭입력 (100Hz)
- CLEAR : 클리어입력, 0이 되면
모든 출력이 0으로 리셋
- START_STOP : 시간증가 / 정지모드 입력
0일때 정지모드, 1일때 증가모드

설 계 내 용
....
[공학] 스탑워치 VHDL 설계 System On Chip 설계 및 응용 - 시계 + 스탑워..
[컴퓨터공학] 논리회로 CSA (Carry Select Adde.. [VHDL] Digital stop watch[디지털 스톱 워치] ..
verilog 시계[디지털 논리 회로] 위어[weir] - 위어판에 의하여 수위차를 만들어..
기초 공학 실험 - Gyroscope의 동특성 실험(결.. 점성의 측정
[전기전자회로실험] 디지털 논리 관련 설계자료 디지털논리회로 - 고속 동작 곱셈기 설계
디지털 논리회로 설계 및 실습 - 논리 프로브 .. 기계자동차공학 실험 - AND, OR, NOT 게이트를 ..
HITE(화이트),MAX(맥스) 마케팅전략 및 기업분.. 일반물리학 실험 - 구심력 측정
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석