System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
리포트 > 공학/기술
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간..
한글
2014.03.27
47페이지
1. System On Chip 설계 및 응용 - 시계 + 스..
2. System On Chip 설계 및 응용 - 시계 + 스..
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
최종 프로젝트
Digital Clock 설계
[목 차]
1. 시계 블록도(1page)

2. VHDL 소스 설명(2~42page)
① easy_clock.vhd
② clock.vhd
③ stopwatch.vhd
④ setclock.vhd
⑤ setalarm.vhd
⑥ alarm_dot.vhd
⑥ seven_seg.vhd

3. 동작방법(43page)

4. 동작결과

5. 고 찰

시계 + 스탑워치 + 시간설정 + 알람설정 구현!!

1. 시계 블록도

Clock

Stopwatch

Seven_SEG

SetClock

SetAlarm

CLK_IN

RESET

SWITCH

put

Alarm_DOT

DOT
matrix

bintoseg

LED

7 Segment

index

2. VHDL 소스 설명
① easy_clock.vhd

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity easy_clock is
port (
clk_in : in std_logic;
resetn : in std_logic;
switch : in std_logic_vector(8 downto 0); //숫자switch 입력 벡터로 선언(1~9)
led_out : out std_logic_vector(7 downto 0);
dot_col_reg : out std_logic_vector(9 downto 0); //dot matrix 의 열 벡터로 선언(세로10개)
....
verilog 시계[디지털 논리 회로] 디지털 논리 설계 - Altera Max+plus II 스탑워..
점성의 측정 [공학] 스탑워치 VHDL 설계
SK하이닉스 SW(소프트웨어) 직군 합격 자기소개.. 기초 공학 실험 - Gyroscope의 동특성 실험(결..
한국의 미래성장 산업분야와 기업 한국의 미래 성장 산업분야와 기업
[디지털 시스템 설계] 디지털 시계 최종 보고서 [VHDL] Digital stop watch[디지털 스톱 워치] ..
사랑에 관한 짧은 필름 마이크로프로세서 응용 및 실험 - ADC UART
위어[weir] - 위어판에 의하여 수위차를 만들어.. PC렌탈계약서
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석