디지털 회로설계 - 고속 동작 덧셈기 설계
리포트 > 공학/기술
디지털 회로설계 - 고속 동작 덧셈기 설계
한글
2013.07.19
15페이지
1. 디지털 회로설계 - 고속 동작 덧셈기 설계...
2. 디지털 회로설계 - 고속 동작 덧셈기 설계...
디지털 회로설계 - 고속 동작 덧셈기 설계
1. 제목 : 고속 동작 덧셈기 설계

2. 목적
VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의 사용법을 익힌다.

3. 목표 및 기준 설정
- 설계 목표 : 빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder와 Carry Select Adder를 설계한다. 이때 덧셈기는 16-bit의 입력과 출력을 가지도록 한다.
- 기준 설정 : 이론과 목적에 맞는 올바른 설계가 이루어지도록 하고, delay 및 기타 요인에 의해 오차가 발생하지 않도록 한다.

4. 합성 및 분석
1) 덧셈기 분석
① CLA (Carry Lookahead Adder)

CLA의 block diagram

CLA(Carry Lookahead Adder)는 Ripple Carry Adder에서 carry propagation에 의해 delay가 발생하는 문제점을 보완하기 위해 설계되었다.
CLA는 각 bit의 덧셈 연산을 수행하는 Full adder와 덧셈 과정에서 발생하는 carry만을 미리 계산하는 Carry-lookahead logic block으로 구성되어 있다. 4개의 FA가 4-bit크기의 입력 A(A3A2A1A0)와 B(B3B2B1B0)의 각 자리 bit들을 더해 각각의 sum 값을 출력하고, carry lookahead logic에는 최종 carry-out인 를 출력하기 위한 값들이 입력된다. 이 값들은 각각 generation function과 propagation function으로 불리며, 각 bit의 곱과 합을 나타낸다.

최종 Carry 는 아래와 같이 나타낼 수 있다.

....
디지털 회로설계 - 고속 동작 곱셈기 설계 디지털논리회로 - 고속 동작 곱셈기 설계
디지털회로 설계언어 프로젝트 - 자판기 코딩에.. 전자공학 실험 - BJT의 특성과 바이어스회로
기초부터 배우는 디지털 회로설계 [디지털 회로설계] Moore , Mealy Type Finite ..
[디지털 설계] 디지털 IC회로 설계 고급디지털 회로설계 - 111 DETECTOR 설계
디지털회로 실험 - TTL 게이트 동작 [디지털 회로 설계] 4-Bit D Flip Flop 설계
[전기전자회로실험] 디지털 논리 관련 설계자료 기초전자전기-모터 제어 실험 보고서
컴퓨터 구조론-캐쉬의 구성, 내부의 동작- 디지털영화의현황과미래
 
공조설비설계 1층 덕트 계산
공조설비설계 물량산출-덕트
수준측량 보고서
기본측량학실습 - 수준측량
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임