디지털시스템설계 - 신호등 설계
리포트 > 공학/기술
디지털시스템설계 - 신호등 설계
한글
2013.05.08
22페이지
1. 디지털시스템설계 - 신호등 설계.hwp
2. 디지털시스템설계 - 신호등 설계.pdf
디지털시스템설계 - 신호등 설계
Design Object

Design a practical Traffic Light Controller using Traffic Lights Module
on HBE-COMBO II Kit

Diagram

The state of Kit according to Light_direction

Traffic signal cycle

emergency state

VFD, DOT, LED, 7SEG

Modified Code
[top_traffic.vhd]

entity top_traffic is
port (
iMclk : in std_logic;
iMrst : in std_logic;
iswitch : in std_logic;
iswitch2 : in std_logic;

istate : in std_logic;
idirection : in std_logic_vector(3 downto 0);

light_direction : in integer range 0 to 4;

• std_logic is set to change switch to next direction and change iswitch2 to
switch changing the direction to opposite side.
• std_logic is also set to change istate to emergency situation.
• std_logic_vector is set to change idirection to variable that accept the
assigned direction.
• light_direction is modified to 4 for adding emergency situation.

....
최신 디지털 공학 - 사거리 신호등 시스템 [디지털공학] 플립플롭을 이용한 신호등 제어기..
설계 - 큐블록을 이용한 보행자위주 신호등 설계 사거리 신호등 제어 프로그램
디지털 시스템 설계 및 실험 - 4bit Adder Subt.. [디지털 시스템] 디지털 시계 제작
[디지털설계 ] Unigraphics 기반사 출금형 설계.. [레포트] 디지털 시스템 설계 레포트
[디지털 시스템 설계 및 실험] 4bit ripple cou.. [디지털 시스템 설계] 디지털 시계 최종 보고서
[디지털 시스템 설계 및 실험] Latch, Flip-Flo.. [디지털 시스템설계] 용어조사
디지털 시스템 설계 및 실험 - Decorder, Encod.. 전자공학 - 디지털시계 설계 및 제작
 
최신 디지털 공학 - 사거리 신..
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석