[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계
리포트 > 경영/경제
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic u..
한글
2012.06.04
9페이지
1. [디지털시스템] VHDL을 이용하여 ALU[Arith..
2. [디지털시스템] VHDL을 이용하여 ALU[Arith..
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계
1. Background
- 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리장치에 의해 통제 된다.

2. ALU Function Table

S4
S3
S2
S1
S0
Cin

Operation
Function
Implementation block

000000000000000000000000000011110011001101010101

Y[=A
Y[A+1
Y[A+B
Y[A+B+1
Y[=
Y[=+1
Y[=A-1
Y[A
Transfer A
Increment A
Addition
Add with carry
A plus 1 s complement of B
Subtraction
Decrement A
Transfer A
Arithmetic Unit
Arithmetic Unit
Arithmetic Unit
Arithmetic Unit
Arithmetic Unit
Arithmetic Unit
Arithmetic Unit
Arithmetic Unit

000000001111001101010000

Y[=A and B
Y[=A or B
Y[=A xor B
Y[=
AND
OR
XOR
Complement A
Logic Unit
Logic Unit
....
최신 디지털 공학 - 사거리 신호등 시스템 디지털회로실험 예비보고서-산술논리 연산 장치
CPU [디지털 회로설계] VHDL을 통한 Gray Code 설계
디지털논리회로 - VHDL을 이용한 inertial dela.. [디지털 회로 설계] 4-Bit D Flip Flop 설계
[디지털 회로설계] 4-Bit D Flip Flop 설계 고급디지털 회로설계 - 111 DETECTOR 설계
논리회로설계 - vhdl을 이용한 도어락(door loc.. 디지털 회로설계 - 고속 동작 덧셈기 설계
SK하이닉스 양산기술 합격 자기소개서 [공학] 스탑워치 VHDL 설계
VHDL 설계 언어 실습(문법적용) [VHDL] Digital stop watch[디지털 스톱 워치] ..
 
아동문제가 사회문제로 다루어..
성공적인 관광지 마케팅 사례,..
CGV 기업분석과 CGV 마케팅전..
[아동미술치료] 장애아동 미술..
마케팅 STP,4P전략 분석과 현..
이케아 IKEA 마케팅 4P전략분..