논리게이트 - VHDL 설계 언어 실습
리포트 > 공학/기술
논리게이트 - VHDL 설계 언어 실습
한글
2014.01.20
26페이지
1. 논리게이트 - VHDL 설계 언어 실습.hwp
2. 논리게이트 - VHDL 설계 언어 실습.pdf
논리게이트 - VHDL 설계 언어 실습
◆ AND GATE(2 input)
1. 소스

library ieee;
use ieee.std_logic_1164.all;

entity andgate is
port(
sw1 : in std_logic;
sw2 : in std_logic;
led : out std_logic);
end andgate;

architecture sample of andgate is
begin
led [= sw1 and sw2;
end sample;

2. 시뮬레이션
1) Flow Summary

2) Waveform

3) time analyzer Summary

3. 블록다이어그램

※ 2입력 and 게이트의 정상적인 동작파형을 확인하였다.

◆ nor gate(2 input)
1. 소스

library ieee;
use ieee.std_logic_1164.all;

entity norgate is
port(a,b : in std_logic;
y : out std_logic);
end norgate;

architecture sample of norgate is
begin
y [= a nor b;
end sample;

2. 시뮬레이션
1) Flow Summary

2) Waveform

3) time analyzer Summary

3. 블록다이어그램

◆ nand_4
1.소스

library ieee;
use ieee.std_logic_1164.all;

entity nand_4 is
port( a,b,c,d : in std_logic;
y : out std_logic);
end nand_4;

architecture sample of nand_4 is
begin
y [= not(a and b and c and d);
end sample;

....
VHDL 설계 언어 실습(문법적용) VHDL의모든것
디지털 논리회로 설계 및 실습 - 논리 프로브 .. 기계자동차공학 실험 - AND, OR, NOT 게이트를 ..
논리회로설계 - vhdl을 이용한 도어락(door loc.. [디지털 회로 설계] 4-Bit D Flip Flop 설계
[디지털 회로설계] 4-Bit D Flip Flop 설계 [디지털시스템] VHDL을 이용하여 ALU[Arithmeti..
[디지털 회로설계] 1-Bit Full Adder를 통한 4-.. 디지털논리회로 실습 보고서 - 기본 논리 게이트
기초회로실험(예비+결과) - 부울대수의 정리 기계자동차공학 실험 - AND, OR, NOT 게이트를 ..
[디지털논리회로 실습 보고서] Exclusive-OR 게.. 논리게이트
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석