VHDL 설계 언어 실습(문법적용)
리포트 > 공학/기술
VHDL 설계 언어 실습(문법적용)
한글
2014.01.20
26페이지
1. VHDL 설계 언어 실습(문법적용).hwp
2. VHDL 설계 언어 실습(문법적용).pdf
VHDL 설계 언어 실습(문법적용)
◆ logic1
1.소스

library ieee;
use ieee.std_logic_1164.all;

entity logic1 is
port(a,b,c :in bit;
y :out bit);
end logic1;

architecture sample of logic1 is
signal w, x : bit;
begin
no1: process(a,b)
begin
if (a= 1 ) or (b= 1 ) then w [= 1 ;
else w [= 0 ;
end if;
end process;
no2: process(b,c)
begin
if (b= 0 ) or (c= 0 ) then x [= 1 ;
else x [= 0 ;
end if;
end process;
no3: process(w,x)
begin
if (w=x) then y [= 0 ;
else y [= 1 ;
end if;
end process;
end sample;

2. 시뮬레이션
1) flow summary

2) wave form

3) time analyzer summary

3. 블록 다이어그램
1) 게이트

2) 블록

◆ over_write

library ieee;
use ieee.std_logic_1164.all;

entity over_write is
port ( a,b : in bit;
z : out bit);
end over_write;

architecture sample of over_write is
begin
process (a,b)
begin
z [= a and b;
z [= a or b;
end process;
end sample;
1. 소스

....
논리게이트 - VHDL 설계 언어 실습 [VHDL] Digital stop watch[디지털 스톱 워치] ..
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [디지털 회로설계] 4-Bit D Flip Flop 설계
[디지털 회로설계] 1-Bit Full Adder를 통한 4-.. [전자공학과] VHDL[VHSIC HardwareDescription ..
[디지털 회로설계] VHDL을 통한 Gray Code 설계 실습보고서 - 임베디드 리눅스 실습
설계 프로젝트 - 4층 엘리베이터 컨트롤러 설계.. VHDL의모든것
영유아보육(언어발달, 사회성발달, 정서발달, .. 복잡한 회로 설계 - [VHDL] 4비트 가산기 설계
고급디지털 회로설계 - 111 DETECTOR 설계 디지털 회로설계 - 고속 동작 덧셈기 설계
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석