전체 (검색결과 약 4,487개 중 6페이지)

 정보사회론 - 뉴미디어 ( 2Pages )
<뉴미디어> A. 미디어 전송방식의 변화 - 디지털 -디지털 정보처리기술의 발달 컴퓨터 기술과 반도체 기술과 함께 오늘날 다양한 뉴미디어의 등장을 가능하게 만든 기술적인 토양은 디지털 정보처리기술의 발달이라고 할수 있다. 오늘날 컴퓨터는 「on - off」 의 이분법에 의해 처리하는 디지털 방식을 채용하고 있다. 그러나 이러한 디지털 방식의 뉴미디어의 개발에 보다 결정적인 영향을 미치게 ..
리포트 > 사회과학 |
공학, 기술
 기초전자공학 실험 - RLC회로의 과도 응답 ( 2Pages )
1.실험제목 - RLC회로의 과도 응답 2.실험목표 1) 오실로스코프와 함수발생기의 사용법을 실험을 통해 익힌다. 2) 인덕턴스와 커패시턴스의 특성을 실험적으로 이해한다. 3) RLC 회로의 과도응답 이해하고 실험으로 확인한다. 3.실험재료 - 디지털 멀티미터, 전원공급기, 오실로스코프, 함수발생기,470, 1k, 10k저항 10㎌, 0.1㎌ 커패시터 100 mH 인덕터 4.실험과정 및 결과 ....
리포트 > 공학/기술 |
 Pspice[피스 파이스] 기초와 활용 ( 124Pages )
Pspice 기초와 활용 Ver 14.2 PSpice 란 Spice (Simulation Program with Integrated Circuit Emphasis) 1972년 미국 버클리대학에서 개발한 전기, 전자 및 디지털 회로 시뮬레이션 프로그램 1984년 MicroSim사에서 Pspice 소개 1998년 OrCAD사에서 Pspice를 인수 2000년 cadence사에서 OrCAD사를 합병 수동소자(저항, 콘덴서, 인덕터), 능동소자(다이오드, 트랜지스터, FET)등에 대한 모델을 자료화 ..
리포트 > 공학/기술 |
 [전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 ( 10Pages )
VHDL에 대하여 (VHSIC HardwareDescription Language) - 목차 1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL 예약어 / 키워드 10. VHDL 주석 11. VDHL 식별어 12. VDHL 기본구성과 표현 13. 마치며.. 1. VHDL의 뜻 VHDL(VHSIC Hardware Descri..
리포트 > 공학/기술 |
 디지털 공학-플립플롭ppt ( 22Pages )
1 디지털공학 2 제 10 장 플립플롭 이 장에서는 디지털 상태(1, 0)로 latch가 되는데 인터 저장회로를 취급하게 된다. 이 새로운 형태의 디지털 회로는 순차회로(Sequential circiut)라고 부른다. 가장 간단한 저장회로는 S-R 플립플롭이다. 이들 회로는 투명한 latch로 불리워지는데 그것은 출력이 즉시 응답되기 때문이다. Latch는 때때로 enable 입력을 가지며 S-R 입력 상태를 무시하거나 받아들이는 la..
리포트 > 공학/기술 |
 오픈 콜렉터와 오픈 드레인 회로, 풀업 풀다운 저항, 플래시 메로리란 ( 13Pages )
오픈 콜렉터와 오픈 드레인 회로 디지털 소자를 사용하다 보면 간혹 TTL에서 개방 콜렉터(open collector)나 CMOS에서 개방드레인(open drain)형이라는 말을 듣게 된다. 이는 도대체 무엇인가 이를 이해하기 위해서는 먼저 앞의 [기술 노트 1]에서 설명한 TTL 및 CMOS의 기본 원리나 [기술 노트 2]에서 설명한 토템폴(totem-pole) 방식의 TTL 회로를 알아야 한다. 일반적인 TTL 소자는 출력간의 회로가 ..
리포트 > 공학/기술 |
 [디지털 시스템 설계] 디지털 시계 최종 보고서 ( 9Pages )
디지털 시계 최종 보고서 목차 1. 연구 소개 2. 개발 내용 3. 개발 일정 4. 세부 동작 5. 문제점 및 발전 방향 첨부 : Source 파일 1. 연구 소개 - 디지털 논리 회로를 이용하여 디지털 시계를 구현 2. 개발 내용 - 보드에 내장된 16Mhz를 1초 분주 - 주어진 7SEGMENT 4자리 시간, 분 표시 - 셋팅으로 시간 설정 가능 3. 개발 일정 날짜 ~ 12.20 내용 코딩 및 디버깅 4. 세부 동작 (1) 기..
리포트 > 공학/기술 |
 무선설비산업기사 과년도 필기기출문제(2000년10월1일) ( 4Pages )
2000년 10월 1일 필기 기출문제 제6회 국가기술검정시험 무선설비산업기사 (시행 : 2000년 10월 1일) 제 1과목 : 디지털 전자회로 1. 입력 진폭 변조파의 전압의 크기에 따라 직선검파 또는 자승검파를 옳게 사용한 것은 ① 변조파의 전압이 작을때는 직선검파, 클때는 자승검파 ● 변조파의 전압이 클때는 직선검파, 작을때는 자승검파 ③ 변조파 전압의 크기와는 상관없이 사용 ④ 경우에 따라 다르..
시험/자격증 > 기술/공학 |
 [디지털논리회로] 메모리의 종류와 동작 ( 28Pages )
▷차례 1. 메모리의 정의 2. 메모리의 동작 ● Address bus와 data bus ● 메모리의 주소 3. 메모리의 성능 표시 ● Access Time (tAC) ● Cycle Time (tCLK) 4. Memory Package 형태상 구분 ● Simm과 DIMM 1)SIMM ① 30핀 SIMM ② 72핀 SIMM 2) DIMM 168핀 DIMM 5. Memory Chip의 분류 ● RAM (Random Access Memory) 1) SRAM(Static Ram) - SRAM의 종류 2) DRAM(Dynamic RAM) - DRAM의 동작에 따른 분류 ● ROM (Re..
리포트 > 공학/기술 |
 디지털 회로 - 자판기 설계 ( 9Pages )
과제 최종보고서 과제명 D. 100 원짜리와 500 원짜리 동전만 받아 1500 원짜리 물건을 출력하고 거스름돈을 지불해야 될 경우에는  이를 지불하는 자판기를 설계하라.  팀번호 지도교수 참여연구원 (모든 팀원) 이 름 학 번 전화번호 E-mail 과제추진일정 (팀 전체의 일정을 기재) 연구 기간 주요연구내용 및 결과물 3월16일∼4월4일 과제를 위한 기반 공부 4월5일∼4월16일 설계 4월21∼6월11일 가실험 6..
리포트 > 공학/기술 |
 [일반물리실험] 회로시험기, 함수발생기 사용법 예비 및 결과 ( 6Pages )
1. 목적 2학기 실험을 하면서 사용하게 될 회로시험기, 함수발생기의 올바른 사용법을 익힌다. 2. 이론 (1) 회로시험기(테스터) 전환스위치에 의하여 간단하게 전기저항, 직류 및 교류의 전압, 전류 등을 측정할 수 있다. 디지털과 아날로그의 두 가지 종류가 있는데, 우리 실험에서는 디지털 회로시험기를 이용한다. [두산백과사전 EnCyber EnCyber.com 참조] - 회로시험기의 구성 ① 10A 입력단자 (..
리포트 > 자연과학 |
 디지털 회로설계 - 고속 동작 곱셈기 설계 ( 9Pages )
1. 제목 : 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정을 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 설계 흐름을 숙지한다. 또한 VHDL을 사용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다. 3. 목표 및 기준 설정 곱셈기를 구현하는 논리는 덧셈기를 구현하는 이론보다 복잡해서, 어떠한 논..
리포트 > 공학/기술 |
 마이크로프로세서의 발전과정+QR코드 ( 4Pages )
마이크로프로세서의 발전 과정과 컴퓨터 산업에 기여한 점 그리고 최신 동향을 A4용지 2페이지 이내로 자세히 서술하라. 1. 마이크로프로세서의 기여도 와 전망 SIA(semiconductor industry association) 로드맵(roadmap)에 의하면 2000년대로 접어들면서 수십 나노미터에 불과한 게이트 길이를 갖는 트랜지스터들이 구현, 집적될 것이다. 이와 같은 추세라면 2010년경의 개인용 컴퓨터에 사용되는 마이크..
리포트 > 공학/기술 |
 [디지털 시스템설계] 용어조사 ( 10Pages )
Verilog-HDL ●베릴로그(Verilog) - HDL 정의 전자공학에서 하드웨어 기술 언어(- 記述 言語, hardware description language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. 흔히 HDL이라고 줄여쓰기도 한다. 회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. HDL의 문법과 의미(semantics)는 소..
리포트 > 교육학 |
 전기전자 공학 - Transistor[트랜지스터]의 작동원리 및 적용사례 ( 8Pages )
전기전자 공학 - Transistor[트랜지스터]의 작동원리 및 적용사례 목 차 1. Transistor의 작동원리 2. Transistor의 적용사례 3. 나의생각 1. Transistor의 작동원리 트랜지스터는 기본적으로는 전류를 증폭하는 것이 가능한 부품이다. 아날로그 회로로는 상당히 많은 종류의 트랜지스터가 쓰여지지만 , 디지털 회로로는 그다지 많은 종류는 사용하지 않는다. 디지털 회로 에서는 대부분 ON 또는 OF..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10