전체 (검색결과 약 4,487개 중 7페이지)

 [컴퓨터 계측 및 실습] LED, 7-segment[7시이그먼트] 구동 실험 ( 3Pages )
LED, 7-segment 구동 실험 I. 실험목적 LED 순차 점등 회로 및 7 세그먼트 출력 회로를 구성하고 구동 프로그램을 작성, 구동시킴으로써 디지털신호의 출력 원래 및 방법을 이해한다. II. 실험내용 1) LABVIEW를 이용해 출력 회로를 구성한다. 여기에는 7-SEGMENT와 LED를 한꺼번에 다 작성시켜서 동시 구동이 가능하도록 해놓았지만 우리는 시간관계상 따로따로 작성하여 만들어보았다. 그렇기 때문에 ..
리포트 > 공학/기술 |
 물리학 실험 - 중첩의 원리 ( 3Pages )
1. 실험 제목 : 중첩의 원리 2. 실험 목적 - 본 실험을 통해 ∎두 개 이상의 전원이 동시에 작용하는 전원 회로를 해석한다. ∎중첩의 원리 이론을 이해하고 활용하는 능력을 기른다. 3. 실험 준비물 -디지털 멀티미터(DMM) -직류 전원 공급기(Power Supply) -저항(7개) : 100, 330, 470, 1k, 3.3k, 4.7k -기판(BreadBoard) -전선, 니퍼, 집게코드 4. 실험 순서 1) [그림 7-2]의 회로를 구성하라. 입..
리포트 > 자연과학 |
 기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계 ( 8Pages )
AND, OR, NOT 게이트를 통한 논리회로 설계  Ⅰ. 목 표 AND, OR, NOT 게이트의 기호와 동작특성을 이해고, 실험을 통해 AND, OR, NOT 게이트의 진리표(Truth Table)를 이해하며, 논리소자들의 작동법을 익힌다. 그리고 슬라이드 스위치 2개로 배운 이론으로 7-segment를 0 부터 3 까지 켤 수 있다. Ⅱ. 실험장비 및 부품 - 7-segment (cathode type) - 저항(330Ω) : 9개 - IC MC74HC08A(AND 게이트) - IC..
리포트 > 공학/기술 |
 [디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) ( 13Pages )
Digital Logic Design Project - Smart Traffic Light Controller - ∙목차 - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan Result - Simulation results in waveform - Conclusions Comments 1. Specification of STLC - Local road에 차가 없거나 건널목을 건너려는 보행자가 없는 경우, highway의 신호가 green..
리포트 > 공학/기술 |
 디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인 ( 6Pages )
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory * 전파지연시간(propagation delay) 신호 값의 변화가 입력에서 출력까지 전달되는 데 걸리는 시간 작동시간은 전파지연의 역의 관계임 논리설계에서 가장 중요한 사항임 하강지연시간(t..
리포트 > 공학/기술 |
 [정밀기계공학] 마이크로프로세서를 이용한 디지털 온도계 제작 ( 6Pages )
[ 목 차 ] Ⅰ 실험 과제 --- p.2 ⅰ) 실험 목표 ⅰ) 학습 목표 Ⅱ 선행 과정 --- p.2 ⅰ) 메카트로닉스1(라인트레이서 제작) ⅰ) 메카트로닉스2(Counter 제작) Ⅲ 하드웨어 및 소프트웨어 구성 --- p.2,3 ⅰ) 하드웨어 구성 ⅰ) 소프트웨어 구성 Ⅳ 디지털 온도계 system 동작 원리 --- p.3 ⅰ) 전반적인 시스템 동작 개요도 ⅰ) 세부 과정 Ⅴ 설계 과정 --- p.4,5 ⅰ) 온도센서 비반전증폭회로 제작 ⅱ) 마이크..
리포트 > 공학/기술 |
 회로설계 합격 자기소개서 ( 4Pages )
제 지원 직무인 회로 설계 분야를 넘어 전반적인 업계 동향과 최신 기술을 주시하고 공부하는 프로의식을 갖춘 엔지니어가 되고 싶습니다. 결국 저는 메모리 반도체 분야가 4차 산업혁명의 핵심 기술들의 혁신을 보조하고, 나아가 스스로의 혁신을 통하여 다른 기술들에 영향을 끼쳐야 한다고 생각합니다. 저는 이러한 4차 산업혁명의 시대에 살아가는 엔지니어로써 혁신기술들과 기존 산업들과의 뗄 수 없..
서식 > 자기소개서 |
기술, 생각, 혁신, 과목, 설계, 이러하다, 직무, 메모리, 공부, 물리, 반도체, 들다, 학년, 회로, 고등학교, 되어다, 분야, 엔지니어, 지원, 구조
 디지털 회로설계 - 고속 동작 덧셈기 설계 ( 15Pages )
1. 제목 : 고속 동작 덧셈기 설계 2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의 사용법을 익힌다. 3. 목표 및 기준 설정 - 설계 목표 : 빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder와 Carry Select Adder를 설..
리포트 > 공학/기술 |
 [전기전자] BJT IC 및 동작특성 기술 ( 4Pages )
BJT IC 및 동작특성 기술 ◎ RTL (Resistor Transistor Logic) ◆ 기판 위에 저항을 부착시키고 거기에 트랜지스터를 설치해 모듈화시킨 IC ◆ 디지탈 IC로서는 최초 ◆ 현재는 거의 사용치 않음 ▷ 3- Input NOR 동작원리 입력 A,B,C 단자는 출력에 대하여 각각 병렬로 연결되어 있으므로 OR게이트로 동작한다. 트랜지스터의 출력 Y는 저항에 의하여 전압강하가 발생하여 NOT게이트의 기능을 가지므로 결..
리포트 > 자연과학 |
 [전기전자공학] 직렬과 병렬의 관계 ( 10Pages )
직렬과 병렬의 관계 전기전자공학실험 실험주제 직렬과 병렬연결의 특징을 알아보고 차이점을 익힌다. 직렬 및 병렬로 연결된 저항 회로에서 전체 저항을 구한다. 직렬 및 병렬회로의 전류와 전압을 측정한다. 실험 장비 직류전원 공급장치 디지털 멀티미터 브레드보드 저항 (100,200,300,510,680) 각 1개 직렬 연결 실험 사진 직렬연결 실험 결과(브레드보드) 실험 결과 비교 ....
리포트 > 자연과학 |
 기초전기회로실험 - 회로 내의 전류, 전압, 저항 사이의 관계를 실험적으로 확인, 옴의 법칙 입증, 측정오차의 원인 규명 ( 4Pages )
실험목적 1. 회로 내의 전류, 전압, 저항 사이의 관계를 실험적으로 확인한다. 2. 옴의 법칙을 입증한다. 3. 측정오차의 원인을 규명한다. 이론적 배경 지금까지의 전류, 전압, 저항을 실험하면서 전압이 일정하게 유지되면 저항이 커질수록 전류가 작아지며, 저항이 일정하면 전압이 증가할수록 전류가 증가함을 알았다. 즉, 이것을 통해서 옴의 법칙을 설명할 수 있는 것이다. 만약 회로의 응답의 변화..
리포트 > 자연과학 |
 우주전자기초 및 실험 결과보고서 - Capaciors(정상상태의 직류 회로망에서 의 작용과 에 걸리는 전압이 지수함수로 증가함을 실험) ( 6Pages )
우주전자기초 및 실험 결과보고서 - Capaciors(정상상태의 직류 회로망에서 의 작용과 에 걸리는 전압이 지수함수로 증가함을 실험) 실험결과 분석 및 토의 Part 1. Basic Series R-C Circuit ● 여기서 계산의 편의를 위하여 시간을 보다 큰 5초로 설정 ●Question 에 걸리는 전압을 측정할 시, 디지털 멀티미터로 측정을 하려고 할 때부터 이미 방전이 시작되기 때문에 최대한 빠르게 값을 측정해야 ..
리포트 > 공학/기술 |
 디지털논리회로 - 고속 동작 곱셈기 설계 ( 9Pages )
1. 제목: 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을 이용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다. 3. 목표 및 기준 설..
리포트 > 공학/기술 |
 일반물리실험 - 오실로스코프 실험 ( 7Pages )
1. 실험목적 Ⅰ. 오실로스코프를 구성하는 네 가지 주요 제어부에 대해 설명한다. Ⅱ. 오실로스코프로 직류전압과 교류전압을 측정한다. 2. 실험재료와 부품 없음 3. 이론요약 오실로스코프(oscilloscope)는 여러 분야에서 널리 사용되는 계측기로 회로의 전압을 그래프(시간의 함수)로 보여준다. 오실로스코프에는 아날로그 타입과 디지털 타입의 두 종류가 있다. 디지털 오실로스코프(digital storage os..
리포트 > 자연과학 |
 [실험 레포트] 오실로스코프 정리 및 결론 및 고찰 ( 7Pages )
1. 실험목적 Ⅰ. 오실로스코프를 구성하는 네 가지 주요 제어부에 대해 설명한다. Ⅱ. 오실로스코프로 직류전압과 교류전압을 측정한다. 2. 실험재료와 부품 없음 3. 이론요약 오실로스코프(oscilloscope)는 여러 분야에서 널리 사용되는 계측기로 회로의 전압을 그래프(시간의 함수)로 보여준다. 오실로스코프에는 아날로그 타입과 디지털 타입의 두 종류가 있다. 디지털 오실로스코프(digital storage os..
리포트 > 자연과학 |
1 2 3 4 5 6 7 8 9 10