[디지털 시스템설계] 용어조사
리포트 > 교육학
[디지털 시스템설계] 용어조사
한글
2012.02.29
10페이지
1. [디지털 시스템설계] 용어조사.hwp
2. [디지털 시스템설계] 용어조사.pdf
[디지털 시스템설계] 용어조사
Verilog-HDL

●베릴로그(Verilog)

- HDL 정의

전자공학에서 하드웨어 기술 언어(- 記述 言語, hardware description language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. 흔히 HDL이라고 줄여쓰기도 한다. 회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. HDL의 문법과 의미(semantics)는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 특징인 시간과 동시성(concurrency)를 표현할 수 있는 notation들이 명시적으로 존재한다

C 언어와 비슷한 문법을 가져서 사용자들이 쉽게 접근할 수 있도록 만들어졌다. if 나 while 같은 제어 구조도 동일하며, 출력 루틴 및 연산자들도 거의 비슷하다. 다만 C 언어와 달리, 블록의 시작과 끝을 중괄호 기호로 대신 Begin과 End를 사용하여 구분하고, HDL의 특징인 시간에 대한 개념이 포함되었다는 것등 일반적인 프로그램과 다른 점도 많이 있다.

- HDL을 이용한 설계

HDL은 두 가지 종류의 시스템을 설계하기 위해 만들어졌다. 첫 번째는, 프로세서나 기타 여러가지 디지털 칩과 같은 특정한 집적회로를 설계하기 위해 사용된다. 이런 경우 HDL은 회로가 설계되고 만들어지기 전에 그 회로의 동작을 예측하는 모델을 제공한다. 두 번째는 FPGA같은 PLD를 프로그램하기 위해 사용한다. HDL로 작성된 코드는 로직 컴파일러를 이용하여 컴파일한 후 해당 기기에 올려진다. 대개의 경우, 테스트를 진행하며 여러 번 코드를 수정하여 기기에 올려볼 수 있다.

- HDL 시뮬레이션

....
설계단계규정 디지털영화의현황과미래
IT용어 디지털 시스템 설계 및 실험 - 4bit Adder Subt..
경영 프로세스와 경영혁신 [디지털 시스템] 디지털 시계 제작
[디지털설계 ] Unigraphics 기반사 출금형 설계.. [디지털 시스템 설계 및 실험] 4bit ripple cou..
[레포트] 디지털 시스템 설계 레포트 [디지털 시스템 설계] 디지털 시계 최종 보고서
[디지털 시스템 설계 및 실험] Latch, Flip-Flo.. 디지털 시스템 설계 및 실험 - Decorder, Encod..
기초부터 배우는 디지털 회로설계 기업 정보시스템 분석 및 설계
 
5세 유아의 일화기록
반일+보육활동계획안[1]
[인간행동과사회환경] 매슬로..
유아교육기관에서 장애 아동의..
청소년 우울증 예방 프로그램
[학습 지도안] 4)음악- 음악으..