[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여
리포트 > 공학/기술
[전자공학과] VHDL[VHSIC HardwareDescription Language]..
한글
2013.07.19
10페이지
1. [전자공학과] VHDL[VHSIC HardwareDescript..
2. [전자공학과] VHDL[VHSIC HardwareDescript..
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여
VHDL에 대하여
(VHSIC HardwareDescription Language)

- 목차
1. VHDL의 뜻
2. VHDL이 만들어진 배경
3. VHDL의 장점
4. VHDL의 단점
5. 하드웨어 디자인과 프로그래밍 언어적 디자인
6. 제품제작에 VHDL이 쓰이는 과정
7. VDHL의 규칙
8. VDHL용어의 정의와 표현
9. VHDL 예약어 / 키워드
10. VHDL 주석
11. VDHL 식별어
12. VDHL 기본구성과 표현
13. 마치며..
1. VHDL의 뜻
VHDL(VHSIC Hardware Description Language)는 원래 미국 국방부에서 주문형 집적회로(ASIC)의 문서화에 사용하기 위해 만든 언어였다. 즉, 복잡한 매뉴얼로 회로의 동작 내용을 설명하는 대신, 회로의 동작 내용을 문서화하여 설명하기 위해 개발했다. 그러나 이런 문서를 회로 디자인 과정에서 시뮬레이션에 사용하게 되었고, VHDL 파일을 읽어 들여서 논리 합성을 한 다음 실제 회로 형태를 출력하는 기능을 덧붙이게 되었다. 오늘날에는 컴퓨터 시스템의 설계제조, 우주항공, 통신, CAD 툴을 개발, IC모델의 개발 등에 쓰여 높은 생산성을 제공하고 있다.
VHDL은 Ada 프로그래밍 언어의 부분집합에 디지털 회로에 필수적인 시간 개념을 추가하는 방식으로 만들어졌으나, IEEE 표준화 작업을 거치면서 오늘날과 같은 형태와 문법을 가지게 되었다.

2. VHDL이 만들어진 배경
....
VHDL의모든것 [전자공학과] FPGA[field programmable gate ar..
전자 제어 - vhdl 자동문 만들기 [디지털 회로설계] Moore , Mealy Type Finite ..
디지털논리회로 - VHDL을 이용한 inertial dela.. [디지털 회로설계] 1-Bit Full Adder를 통한 4-..
SMD Package Styles [레포트] 전자계산학과 - 프로그램랭귀지 TclTk..
각 나라 언어 정책 - 세계 여러 나라의 언어 정.. XML 응용 프로젝트 - 일기예보
An Efficient Contex [배포] InstallShield Language Reference매뉴얼
○○대학교입학추천서 정보화 시대 인터넷과 멀티미디어의 관계에 대..
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석