전체 (검색결과 약 4,487개 중 5페이지)

 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 [디지털 시스템] 디지털 시계 제작 ( 17Pages )
+ 목 차 + ◉ 목 표 ◉ 개 요 ◉ 주차 별 설계 진행 과정 ◉ 오류 검토 ◉ 조별 활동 및 임무 분담 ◉ Data sheets ◉ 기타 공구 및 사용 ◉ 최종 회로도 및 Multisim 실험 결과 ◉ 최종 결과물의 동작에 대한 개요 ◉ 제작 후기 디 지 털 시 계 제 작 ☞ 설계목표 : 디지털시계의 시, 분, 초는 숫자로 표시되어야 하며, 오전과 오후가 구분되고 일반적인 사용과 같이 0시는 12시로 표현되어야 한다. ☞ 설..
리포트 > 공학/기술 |
 디지털 실험 보고서 - 스위칭 회로 (pspice자료까지 첨부) ( 9Pages )
스위칭 회로 § 실험 목적 -AND, OR 논리의 진리표를 결정한다. -Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다 -Switching의 개념을 공부한다. § 실험부품 및 사용기기 SPST 스위치 DPST 스위치 릴레이(5V 동작) LED(red 또는 임의의 색) 릴레이(5V 동작) 5V 직류 전압전원장치 저항기 330 § 이론요약 디지털 논리는 단지 두 개의 조건만을 취급한다. 논리“1” 또는 논리 “0”. Switch는 ..
리포트 > 공학/기술 |
 [디지털 회로설계] VHDL을 통한 Gray Code 설계 ( 6Pages )
디지털 회로설계 1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다. 2) 방법 : [Gray code 식 유도] (1) 2진수를 입력을 갖고 output이 Gray code가 되게, karnaugh map을 이용하여 minimization한다. [VHDL code 작성] (2) QuartusII의 VHDL 언어를 이용하여..
리포트 > 공학/기술 |
 [물리실험] RLC회로에 의한 임피던스 측정 ( 4Pages )
일 자 조 실험자(학번) 공동실험자 1. 제 목 : RLC 회로에 의한 임피던스 측정 2. 목적 및 원리 : 교류 회로에서 도체 내의 전자는 계속해서 방향을 바꾸어 흐른다. 그러므로 전압과 전류 또한 계속해서 변하는데, 전압 E와 전류 는 다음과 같이 나타 낼 수 있다. E= sin = 여기서, :최대전압 :최대전류, t:시간 =2/ T=2:각 진동수 :초기 위상각 다음은 R.L.C의 직렬 회로이다. 회로에서 각각의 소..
리포트 > 자연과학 |
 SK하이닉스 소자 직무 합격 자기소개서 ( 3Pages )
전역 후 전공과목을 많이 수강하고 좋은 성적을 받아 전공평점은 조금 더 높습니다. 해당 분야의 필수 전공과목인 '전자회로' 과목과 '반도체 소자' 과목을 집중적으로 공부하였고, 두 과목 모두 A+의 좋은 성적을 거두었습니다. 스스로의 지적 호기심과 탐구에 대한 보상을 받았다는 생각이 들었고, 이러한 탐구정신을 다른 전공과목들에서도 유지하여 대부분의 전공과목에서 좋은 성적을 거두었습니다. 실..
서식 > 자기소개서 |
과목, 회로, 실험, 성적, 생각, , 설계, 이러하다, 좋다, 해당, 과정, 프로젝트, 꼼꼼하다, , , 받다, 목표, 탐구, 문제, 학습
 전자공학 실험 - BJT의 특성과 바이어스회로 ( 11Pages )
BJT의 특성과 바이어스회로 1. 실험 목적 - 바이폴라 접합 트랜지스터의 직류 특성을 직류 등가 회로와 소신호 등가회로의 모델 파라미터들을 구한다. 그리고 바이어스 원리와 안정화를 학습하고, 전압 분할기 바이어스 회로에서 동작점의 변화에 대한 출력 파형의 변화를 실험으로 관측한다. 2. 실험 해설 - 바이폴라 접합 트랜지스터(BJT:bipolar junction transistor)는 개별회로나 집접회로의 설계에..
리포트 > 공학/기술 |
 물리학 실험 - RLC 공진회로와 대역필터 ( 3Pages )
1. 실험 제목 : RLC 공진회로와 대역필터 2. 실험 목적 - 본 실험을 통해 ■ RLC 공진회로에 대한 기본 개념과 이론을 파악한다. ■ Q factor, Cut-off 주파수 등 공진회로의 특성을 알아본다. ■ RLC 회로의 공진 주파수 특성을 실험을 통하여 이해한다. ■ 주파수 변화에 따른 RLC 회로의 임피던스를 알아본다. 3. 실험 준비물 -오실로스코프 -함수발생기 -디지털 멀티미터(DMM) -저항 -인턱터 -커패시터 ..
리포트 > 자연과학 |
 [일반물리학 실험] R-L-C 회로 측정 ( 9Pages )
[일반물리학 실험] R-L-C 회로 측정 1. 목적 ① R, L, C 각 소자의 특성을 알아본다. ② 교류 회로에서의 전압과 전류 사이의 위상개념을 이해하고, 교류 회로에서의 임피던스에 대해서 알아본다. 2. 실험 기구 RLC회로 상자(SG-7120), 디지털 전류계, 디지털 전압계, 슬라이닥스 3. 기본 원리 교류 회로에서 도체내의 전자는 계속해서 방향을 바꾸어 흐른다. 그러므로 전압과 전류 또한 계속해서 변하..
리포트 > 공학/기술 |
 디지털회로실험 예비보고서-산술논리 연산 장치 ( 13Pages )
디지털회로실험 예비보고서 ( 산술논리연산장치) ♣ 과목명 : ♣ 담당교수 : ♣ 학번 : ♣ 전공 : ♣ 이름 : ♣조: ■ 실험제목 : 산술논리연산장치 ■ 관련이론 (1) ALU (arithmetic-logic unit) ; 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리 동작을 담당한다. 몇몇 프로세서들에서는 ALU가 연산장치(AU)와 논리장치(LU)의 두 부분으로 나뉘..
리포트 > 공학/기술 |
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 삼성전자 System LSI 합격 자기소개서 ( 3Pages )
이러한 회로 설계 및 측정장비 사용 경험은 제가 삼성전자 DS 부문에 입사하여 회로 개발 실무를 하는데 큰 도움이 될 것이라고 확신합니다. 생체인식을 이용한 핀테크 산업이 최근에 중요하다고 생각한 사회 이슈입니다. 생체인식은 핀테크뿐만 아니라 다양한 기술에 접목하면 무한한 발전 가능성이 있습니다. 저는 학부 시절에 회로 이론, 전자회로, 반도체 소자, 디지털 집적회로, 전자회로 설계, 고체전..
서식 > 자기소개서 |
회로, 기술, 다양하다, 테스트, 삼성, 통해, 설계, 미래, 반도체, , 생체인식, 라인, 경험, 집적회로, 동작, 소자, , 테크, 목소리, 전자회로
 전자공학 실험 - 논리 게이트의 특성 및 연산회로 ( 14Pages )
논리 게이트의 특성 및 연산회로 1. 실험 목적 - 논리게이트는 디지털 회로를 구성하는 기본단위이다. 논리게이트(TTL74LS04)입출력의 전기적 특성을 실험을 통해 알아보고, 논리식을 조합논리회로로 구현하고 실험을 통해 진리표를 얻어본다. 2. 실험 해설 디지털 시스템에서는 이진법을 사용하여 모든 연산을 수행한다. 이진법 연산에는 부울대수가 사용되고, 부울대수의 함수를 논리식이라고 하다. ..
리포트 > 공학/기술 |
 삼성전자 System LSI 사업부 회로설계 합격 자기소개서 ( 3Pages )
생체인식을 이용한 핀테크 산업이 최근에 중요하다고 생각한 사회 이슈입니다. 생체인식은 핀테크뿐만 아니라 다양한 기술에 접목하면 무한한 발전 가능성이 있습니다. 하지만 생체인식을 사용하는 기술에는 보안이 매우 철저해야 한다고 생각합니다. 저는 학부 시절에 회로 이론, 전자회로, 반도체 소자, 디지털 집적회로, 전자회로 설계, 고체전자소자, 아날로그 집적회로에 이르기까지 다양한 반도체 소..
서식 > 자기소개서 |
회로, 기술, 다양하다, 테스트, 삼성, 생체인식, 미래, 설계, 반도체, , 라인, 경험, 통해, 홍채, 생각, 이용, 소자, 집적회로, , 테크
 디지털공학 실험 - 수 체계 실험 ( 5Pages )
제목 수 체계 실험목표 □2진수 또는 BCD(binary code decimal) 수를 10진수로 변환. □BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성. □모의실험용으로 결함을 만들어 놓은 회로의 고장 진단. 사용 부품 LED 4개 7447A BCD/10진 디코더 MAN72 7-세그먼트 디스플레이 4조 DIP 스위치 저항: 330Ω 11개, 1.0kΩ 1개 실험순서 1. 이 실험의 회로를 구성하기 전에 ‘실험 개요’의 ‘회로 결선..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10