전체 (검색결과 약 721개 중 14페이지)

 도넛시장,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 20Pages )
외식경영학과 06 김태호 관광경영학과 07 이경민 관광경영학과 07 허윤영 호텔관광경영학부 10 주현우 쉐이크 Animal Style Fries 가족중심경영 1 드라이브 스루 최초 도입 2 ‘드라이브 스루(Drive-Thru)’를 처음 도입. In-N-Out이 처음 오픈했을 당시 50~60년대는 미국에서 프랜차이즈가 한창 붐이 일 때인데 당시에는 종업원들이 자동차 안에 있는 운전자들로부터 주문을 받아 음식을 서빙 하는 모습을 ..
리포트 > 경영/경제 |
 유체역학 - 관로마찰 실험 ( 4Pages )
유체역학 - 관로마찰 실험 1. 실험 목적 관내모양에 따른 압력손실의 실험값과 방정식을 이용한 이론값을 비교하여 실생활에 활용을 목적. 2. 실험 측정 (cm) h1 h2 h in h out Ventury 실험1 72 8 64 62 60 2 실험2 73 18 55 64 62 2 Nozzle 실험1 65 10 55 20 21 -1 실험2 72 25 47 35 36 -1 Oriffice 실험1 71 33 38 46 40 6 실험2 78 62 16 61 57 4 3. 실험 결과 ◆ VENTURY L = 1m, D1 = 0.037m,..
리포트 > 공학/기술 |
 [세미나] 웹사이트 제작 아웃소싱 - A사의 웹사이트 아웃소싱 현황을 중심으로 ( 12Pages )
웹사이트 제작 아웃소싱 A사의 웹사이트 아웃소싱 현황을 중심으로 Why and How we do / Out-sourcing!! Coase’s Law(1937) - 기업의 확장은 내부거래비용이 외부거래비용과 같아질 때까지 확장 If 내부거래비용 ] 외부거래비용 Then 가차없이 분열 or 분사 Out Sourcing and Out Partnering(제휴 및M&A) 증가 중계상 몰락 예견 Decision Making about Out-Sourcing 내부거래비용(인건비+운영비+기술비용..
리포트 > 공학/기술 |
 CrossingtheBar ( 3Pages )
1.원문 Crossing the Bar Sunset and evening star, And one clear call for me! And may there be no moaning of the bar, When I put out to sea, But such a tide as moving seems asleep, Too full for sound and foam, When that which drew from out the boundless deep Turns again home. Twilight and evening bell, And after that the dark! And may there be no sadness of farewell, ..
리포트 > 인문/어학 |
인문, 어학
 유니클로(Group4) ( 41Pages )
U N I Q L O Contents INTRODUCTION INSIDE OUT OUTSIDE IN ANAYSIS CONCLUSION INTRODUCTION Research Subjects : 20s Place : University The Total : 62 people the proportion of males to females : 49% 51% 1.INTRODUCTION Uniqlo’s Image Most people think Uniqlo is no burden of its brand 1.INTRODUCTION buying defined factors UNIQLO has the advantage of low prices 1.INTRODUCTION The..
리포트 > 경영/경제 |
 벤처용 아웃소싱회계용역계약서 ( 3Pages )
Out-Sourcing 회계 용역 계약서(벤처용) (이하 “갑”이라 함)과 (이하 “을”이라 함) 사이에 다음과 같이 계약을 체결한다. 제1조 【계약의 목적】 본 계약은 “을”이 “갑”에게 Management Out-Sourcing 용역을 제공함에 있어 그 용역의 범위와 업무 절차 및 그에 수반되는 권리 및 의무의 내용을 규정함을 그 목적으로 한다. 제2조 【“갑”.“을”의 지위】 1) “을”은 Management Out-Sourcing 용역의 공급업..
서식 > 계약서 |
 NotWavingButDrowning ( 2Pages )
1.원문 Not Waving But Drowning Nobody heard him, the dead man, But still he lay moaning: I was much further out than you thought And not waving but drowning. Poor chap, he always loved larking And now he's dead It must have been too cold for him his heart gave way, They said. Oh, no no no, it was too cold always (Still the dead one lay moaning) I was much too far out all ..
리포트 > 인문/어학 |
인문, 어학
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 아웃소싱회계용역계약서(벤처용) ( 3Pages )
Out-Sourcing 회계 용역 계약서(벤처용) (이하 “갑”이라 함)과 (이하 “을”이라 함) 사이에 다음과 같이 계약을 체결한다. 제1조 【계약의 목적】 본 계약은 “을”이 “갑”에게 Management Out-Sourcing 용역을 제공함에 있어 그 용역의 범위와 업무 절차 및 그에 수반되는 권리 및 의무의 내용을 규정함을 그 목적으로 한다. 제2조 【“갑”.“을”의 지위】 1) “을”은 Management Out-Sourcing 용역의 공급..
서식 > 계약서 |
 LCD 제어 분석 ( 45Pages )
LCD 제어 목차 LCD 모듈 LCD 모듈의 내부 구성 LCD 명령어 LCD 초기화 LCD 모듈 프로그래밍 LCD 모듈에 관한 예제 LCD 모듈 LCD 모듈이란 - 가정 또는 산업 현장에서 가장 많이 사용되고 있는 것으로, 표시(Display)장치와 구동(Driver) 장치가 하나로 되어 있는 것을 의미 LCD 모듈의 사양 - 16문자 2라인 - 5x7 폰트 - LCD 컨트롤러 - C.G. ROM과 C.G.RAM을 이용한 글자 발생기 LCD의 핀 기능 (..
리포트 > 공학/기술 |
 레져관련 서비스 매뉴얼 ( 86Pages )
레져관련 서비스 매뉴얼(LEISURE DEP'T SERVICE MANUAL) LEISURE DEP'T SERVICE MANUAL Ⅰ. DEP'T OUT LINE 1. 영업장 안내(LOCATION, CAPACITY & OPERATION HOURS) 2. 조직도(ORGANIZATION CHART) 3. MANPOWER STRENGTH & WORKING SHIFT 4. OPERATION CONCEPT 5. LEISURE DEP'T (평면 배치도) 6. 부분별 면적 레져관련 서비스 매뉴얼(LEISURE DEP'T SERVICE MANUAL) Ⅰ. DEP'T OUT LINE 1. 영업장 안내(L..
비지니스 > 사업계획서 |
레져서비스 매뉴얼, 서비스매뉴얼
 심리적 소진 ( 2Pages )
심리적 소진 성실하게 살던 사람들이 어느 날 갑자기 우울하고 무기력해 하는 경우가 있다. 그저 지나가는 경우가 많으나, 많은 경우 이러한 증상은 심리적 소진 때문이다. 'burn out'이란, 신체적으로나 정신적으로 모든 에너지가 소진된 상태이다. 이 상태는 아주 사소하게 시작되지만 그대로 방치할 경우, 목숨까지 위협할 수 있다. 심리적 소진은 다음의 5단계의 순서를 따른다. 1. 제1단계 ... 자..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 수직적 통합과 아웃소싱 ( 17Pages )
본 자료는 수직적 통합과 아웃소싱에 대한 이론적 내용을 서술한 자료로 기업전략, 수평적 통합, 수직적 통합의 개념과 장점 및 단점, 전략적 아웃소싱의 개념, 이점, 위험요인 등에 대해 상세하게 설명한 자료임 1. 기업 전략의 개념 2. 기업 전략 결정 사항 및 단계 3. 수직적 통합의 개념 4. 수직적 통합의 구분 5. 수직적 통합의 발생 사유 6. 수직적 통합의 장점 및 단점 7. 수직적 통합의 통합 ..
리포트 > 경영/경제 |
기업전략, 수직적 통합, 수평적 통합, 아웃소싱, 수직적 통합 장점, 수직적 통합 단점, 수직적 통합 발생사유, 수직적 통합 정도, 수평적 통합 이점
 망고식스,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례 ( 28Pages )
MANGO SIX Contents Introduction 2. Inside-Out View 3. Outside-in View 4. Conclusion Introduction ABOUT ‘MANGO SIX’ Premium dessert cafe Premium dessert cafe… ABOUT ‘MANGO SIX’ Premium dessert cafe 100% Hawaiian Kona Coffee (World’s top three coffee brands) Fresh juice made with mango Nicole’s recipe = ‘KUKI SIX’ Sweet fun dessert Sensual concept healthy menu community..
리포트 > 경영/경제 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20