전체 (검색결과 약 721개 중 13페이지)

 [강화중지기법] Operant소거법, Response Cost기법, Time Out기법 ( 4Pages )
[강화중지기법] Operant소거법, Response Cost기법, Time Out기법 목차 강화중지기법 1. Operant 소거법 2. Response Cost 기법 3. Time Out 기법 * 참고문헌 ...
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 조직 혁신 Work Out 프로세스 ( 47Pages )
조직 혁신을 위한 Work-Out 프로세스 적용 실천과정 ●교육과정명 : 조직혁신을 위한 Work-out 실천과정 ● 교육목적 및 기대효과 - 문제해결 프로세스를 전직원이 공유함으로서 업무혁신,조직혁신을 가속화하고 전원참여에 의한 활력있는 조직문화 구축 ● 교육특징 :W/S중심의 문제해결 과정으로 Skill체득에 의한 교육후의 현장적응력을 배가한 실천적 프로그램 ● 교육일시 : ● 교육장소 : ● 교육시간 :..
비지니스 > 경영관리 |
 The Reverse positioned marketing,Face the mainstream,JetBlue Airways,In N Out Burger,Google,IKEA ( 21Pages )
The Reverse positioned marketing -Face the mainstream [Index] Ⅰ. Introduction 1.1 The reason why we chose this topic 1.2 The concepts and definitions of the Reverse positioned marketing 1.3 Comparison with existing marketing strategies Ⅱ. Body The cases of the Reverse positioned marketing 2.1 JetBlue Airways 2.2 In N Out Burger 2.3 Google 2.4 IKEA Ⅲ. Conclusion 3.1 C..
리포트 > 경영/경제 |
 벤처용 Out-Sourcing회계용역계약서 ( 3Pages )
Out-Sourcing 회계 용역 계약서(벤처용) (이하 “갑”이라 함)과 (이하 “을”이라 함) 사이에 다음과 같이 계약을 체결한다. 제1조 【계약의 목적】 본 계약은 “을”이 “갑”에게 Management Out-Sourcing 용역을 제공함에 있어 그 용역의 범위와 업무 절차 및 그에 수반되는 권리 및 의무의 내용을 규정함을 그 목적으로 한다. 제2조 【“갑”.“을”의 지위】 1) “을”은 Management Out-Sourcing 용역의 공급업..
서식 > 계약서 |
 The Reverse positioned marketing,Face the mainstream,JetBlue Airways,In N Out Burger,Google,IKEA ( 42Pages )
Reverse-Positioned Marketing 1 - Face the main stream. Contents Introduction Concept of Reverse Positioned marketing Body case in reverse positioned marketing JetBlue Airways IN-N-OUT Burger IKEA Google Conclusion Character, problems, solution and suggestion 234 Trends change rapidly Compete intensely 5 is important! But, As they compete harder they resemble each ot..
리포트 > 경영/경제 |
 RingOut ( 4Pages )
1.원문 Ring Out, Wild Bells from In Memoriam A. H. H. (106) Ring out, wild bells, to the wild sky, The flying cloud, the frosty light; The year is dying in the night; Ring out, wild bells, and let him die. Ring out the old, ring in the new, Ring, happy bells, across the snow: The year is going, let him go; Ring out the false, ring in the true. Ring out the grief that..
리포트 > 기타 |
리포트, 레포트
 은행계좌 입금, 출금 JAVA코드 ( 4Pages )
이 코드는 ArrayList를 이용하여 계좌를 생성하고 생성한 계좌를 가지고 입금, 출금, 잔액조회, 계좌번호 조회를 하는 기능이 있는 java 코드입니다. x= new BankAccount(number, inputMoney); System.out.println("입력하신 계좌번호는 " + x.accountNumber+"이고 입금하신 금액은 " + x.balance +"원 입니다"); list.add(x.accountNumber); money.add(x.balance); System.out.println("===..
리포트 > 공학/기술 |
JAVA, java, 은행, 계좌, 코드, 리스트, 생성자
 성과급(out payment, pay for performance)제도 검토 ( 2Pages )
성과급(out payment, pay for performance)제도 검토 1. 성과급의 의의와 특징 ① 개념 성과급은 개별종업원이나 집단이 수행한 노동성과나 업적을 기준으로 임금을 산정하여 지급하는 임금형태이다. 변동급이라는 본질적인 특징을 지닌다. ② 장점 a. 근로자를 동기부여시키고 노동생산성을 향상시킨다. 개별 성과급의 실시로 생산성이 10%~20%증가될 수 있다 b. 직접노무비를 감소시키고 종업원들의 소득..
리포트 > 경영/경제 |
 통신시스템 - Design project 연속파형변복조 시스템 구현 및 분석[ AM, FM 변복조와 SNR을 구하는 프로젝트] ( 17Pages )
◎ Design project 연속파형변복조 시스템 구현 및 분석 ◎ 1. 연속파형변조 통신시스템 구현 AM (DSB-SC) 및 FM 통신 시스템의 변조기 및 복조기를 구현한다. 반송파 주파수는 적절하게 결정하여 사용하고, 그 이유를 제시한다. (적절한 signal processing을 위하여 변조 전에 interpolation을 수행oversampling된 데이터로 신호 처리하는 것이 좋음) #1 AM 변조기 복조기 (DSB-SC) - Source Code clear; ..
리포트 > 공학/기술 |
 인사관리상 아웃소싱 (Out-sourcing) 관리 ( 2Pages )
인사관리상 아웃소싱 (Out-sourcing) 관리 Ⅰ. 의의 아웃소싱이란 기업의 경영활동 중 전략적으로 중요한 핵심분야에 모든 역량과 자원을 집중시키고 나머지 부분을 외부 전문업체에 위탁함으로써 기업경쟁력을 제고시키는 전략이다. 이는 외부의 전문적인 지식과 시스템 등 외부자원을 효율성 있게 활용함으로써 자사가 목적으로 하는 업무에 경영능력을 집중하는 경영혁신운동의 일환이다. 이는 핵심역..
리포트 > 경영/경제 |
 [물리치료학] 밀기 증후군[Pusher syndrome, Out of line]에 관해 ( 5Pages )
밀기 증후군(Pusher syndrome, Out of line) 정상인이 안정시 또는 동작 시에 head, trunk의 평형, balance를 해부학적 위치에 유지할 수 있는 것은 ■ Proprioception receptor, ■ verstibulo로 부터의 정보, ■ visual로 부터의 정보를 중추와 통합함과 여분의 운동을 억제하여, 신체 각부를 컨트롤하고 있기 때문이다. 그러나 뇌손상 환자에게서 우리는 크게 운동기능, 감각기능, 그리고 인지기능 그리고..
리포트 > 의/약학 |
 Entrance ( 2Pages )
1.원문 Entrance Whoever you are: in the evening step out of your room, where you know everything; yours is the last house before the far-off: whoever you are. With your eyes, which in their weariness barely free themselves from the worn-out threshold, you lift very slowly one black tree ....
리포트 > 인문/어학 |
인문, 어학
 Asthro ( 3Pages )
1.원문 As thro' the Land at Eve We Went As thro' the land at eve we went, And pluck'd the ripen'd ears, We fell out, my wife and I, O, we fell out, I know not why, And kiss'd again with tears. And blessings on the falling out That all the more endears, When we fall out with those we love And kiss again with tears! For when we came where lies the child We lost in other years..
리포트 > 인문/어학 |
인문, 어학
 [VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 ( 11Pages )
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용..
리포트 > 공학/기술 |
 [뇌손상] 중심선 이탈[밀기 증후군] - Out of line[The Pusher Syndrome]에 관해서 ( 11Pages )
중심선 이탈(밀기 증후군) - Out of line(The Pusher Syndrome)   편마비 환자의 재활에 관한 대부분의 연구는 대부분의 환자들의  걷는 모습의 질에는 관계없이 독립적으로 걷는 능력을 얻을 수 있는가에 집중되었다. 그러나 많은 환자들은 재활 치료 없이도 다시 걷는 것을 배운다. 소수의 환자들이 왜 과거처럼 걷을 수 없는가하는 이유와 이러한 장애들을 극복하기 위해 어떻게 도와주어야 하는가를 고..
리포트 > 의/약학 |
11 12 13 14 15 16 17 18 19 20