전체 (검색결과 약 721개 중 15페이지)

 유닉스 - C의 MAIN함수 기능 이해 ( 6Pages )
C의 MAIN함수 기능 이해 1. 문제정의 unix 시스템의 vi 사용법 이를테면 명령모드(ESC)와 입력모드(i,a,o ..) 마지막 행 모드(:) 같은 모드 변경과 명령모드에서의 이동, 삭제, 수정 명령어의 습득과 특별명령처리에서의 저장, 종료 등 여러 명령어를 공부하고 쓸 수 있게 하며 “.c ”파일을 가지고 gcc 컴파일을 통해 a.out 파일로 실행을 시키는 과정을 알게 하는 것이 목적이다. 또한 c에서의 main함수..
리포트 > 공학/기술 |
 ALightintheAttic ( 2Pages )
1.원문 A Light in the Attic There's a light on in the attic. Though the house is dark and shuttered, I can see a flickerin'flutter, And I know what it's about. There's a light on in the attic. I can see it from the outside, And I know you're on inside. . . lookin' out. (Shel Silverstein) 2.해석 다락방의 불빛 ....
리포트 > 인문/어학 |
인문, 어학
 키코의 구조와 키코 가입 기업 분석 사례 ( 26Pages )
환위험과 KIKO사태 -키코의 구조 와 키코 가입 기업 분석 사례- 1.KIKO 1.환위험과 환헤지 2.KIKO 정의 3.KIKO 구조 4.KIKO 문제점 2. KIKO의 기업사례 1.키코의 거래규모와 손실현황 2.심택 3.태산LCD 3. 환위험관리 금융상품 Contents 123 1.옵션 2.선물 3.스왑 환위험과 환헤지 환위험이란 환위험 혹은 환리스크는 일반적으로 환율의 변동에 의하여 발생하는 위험 을 말한다. 즉 장래의 ..
리포트 > 경영/경제 |
 실험보고서 - 열 교환기 실험 ( 9Pages )
열 교환기 실험 실험 목적 열 교환기의 병행류와 대향류의 시험을 통한 열 전달 실험을 통하여 열 수지를 이해하고, 유체의 유량과 총괄 열전달계수와의 관계를 검토하고 총괄 열전달계수, 온수 전열량, 냉수 전열량, 그리고 외부 열손실과 효율 등 개념을 이해한다. 즉, 열 교환기의 흐름 형태 (대향류와 평행류)에 따른 전열 특성을 이해한다. 1) 이중관 열 교환기의 설계식의 이해 2) 열 교환기의 ..
리포트 > 자연과학 |
 AtaWindow ( 3Pages )
1.원문 At a Window Give me hunger, O you gods that sit and give The world its orders. Give me hunger, pain and want, Shut me out with shame and failure From your doors of gold and fame, Give me your shabbiest, weariest hunger! But leave me a little love, A voice to speak to me in the day end, A hand to touch me in the dark room Breaking the long loneliness. In the dusk of ..
리포트 > 인문/어학 |
인문, 어학
 VHDL 설계 언어 실습(문법적용) ( 26Pages )
◆ logic1 1.소스 library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a= 1 ) or (b= 1 ) then w [= 1 ; else w [= 0 ; end if; end process; no2: process(b,c) begin if (b= 0 ) or (c= 0 ) then x [= 1 ; else x [= 0 ; end if; end..
리포트 > 공학/기술 |
 까사미아 경영 사례 분석 ( 12Pages )
목 차 About Casamia Vision History Casamia’s Progress Casamia’s Design SWOT 분석 성공 요인 Future plan About casamia 국내 최초 원스톱 쇼핑이 가능한 종합 인테리어 회사 까사미아는 이탈리아어로 나의 집 이라는 뜻으로 라이프 스타일 스토어 라는 컨셉을 정립, 집에 관한 모든 것을 취급 현재 전국 12개의 직영매장, 60여개의 대리점, 온라인 쇼핑몰까지 거느린 회사로 성장함 Vision “ 인..
리포트 > 경영/경제 |
 튜울립과_고양이 ( 1Pages )
Preparations Unit. 6 What s out there 과목명: 교수님: 제출자: 학부: 학번: 제출일 :
리포트 > 레포트표지 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 ABC원가시스템,ABC원가시스템사례,관리회계시스템 ( 20Pages )
Time-Driven Activity Based Costing Activity Based Management Helpful for management decision Find out which segments are profitable Customer and product profitability Feasibility in Benchmarking Capital budgeting Advantages of ABC Cost of implementing and maintenance Time consuming Internal resistance Doesn’t provide timeliness information Confusion among ABC and Trad..
리포트 > 경영/경제 |
 해양기름오염문제 ( 7Pages )
1. 서론 큰 원유 유출 사고가 있을 때마다 사람들은 해변에서나, TV 혹은 신문을 통해서 그것을 볼 수있고 그리고 또 많은 사람들이 그것에 의해 영향을 받는다고 생각하기 때문에 석유에 의한 해양오염은 많은 사람들의 관심을 끌어왔다. 석유 탄화수소들은 여러 가지 경로를 통하여 바다에 이른다. 그러나 유조선 사고만이 석유에 의한 해양의 원인은 아니다. source amount total Transportation ..
리포트 > 농/수산학 |
농학, 수산학
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 Tomorrow ( 3Pages )
1.원문 Tomorrow, and Tomorrow, and Tomorrow from Macbeth Tomorrow, and tomorrow, and tomorrow, Creeps in this petty pace from day to day To the last syllable of recorded time, And all our yesterdays have lighted fools The way to dusty death. Out, out, brief candle! Life's but a walking shadow, a poor player That struts and frets his hour upon the stage And then is heard no..
리포트 > 기타 |
리포트, 레포트
 [화학공학실험] 냉동사이클 ( 5Pages )
1. 실험 제목 : 냉동사이클 2. 실험 목적 : Carnot 사이클에 대하여 이해하고 실제 실험을 통해 수치적으로 확인해본다. Normal 사이클과 Reverse 사이클에 대하여 몰리에르 선도를 그려보고 두 선도를 비교, 분석해본다. 또한 성능계수를 구해보고, 사이클에 미치는 영향에 대하여 알아본다. 3. 실험 방법 및 과정 1. 전원을 연결하고 장치내의 스위치가 OFF상태에 있는지 확인. ↓ 2. Main power 스위..
리포트 > 공학/기술 |
 행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오 ( 11Pages )
행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오에 대한 레포트 행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오 목차 행동수정기법의 개념, 종류, 각 기법의 장단점을 기술하시오 I. 정적 강화법 1. 선행조건 찾기 2. 행동 3. 강화의 조작 II. 강화중지기법 1. Operant 소거법 2. Response Cost 기법 3. Time Out 기법 III. 모델링 기법 ... 이하 생략
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제, 문제점
11 12 13 14 15 16 17 18 19 20