전체 (검색결과 약 206개 중 6페이지)

 디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서 ( 4Pages )
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서 1.실험 목표 □ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성. □ 구성된 논리 프로브를 사용하여 회로 테스트 □디지털 멀티미터와 오실로스코프를 사용하여 논리 레벨 측정과 유효 입력 논리 레벨비교 2.이론 요약 이번실험에서의 회로는 간단한 논리 프로브이며 회로에서 HIGH와 LOW 논리 레벨의 존재를 검출하는 데 유용하..
리포트 > 공학/기술 |
 삼성의 미래 성장동력 확보 전략 ppt자료 ( 27Pages )
목 차 Ⅰ. 삼성그룹 신사업 요소 ………3 Ⅱ. 삼성의 미래 성장동력 ………4 1. 녹색 산업과 건강의료 산업 2. 태양전지 사업 3. 자동차용 전지 사업 4. LED 사업 5. 바이오 제약사업 Ⅲ. 삼성의 사업추진 현황 ………6 1. 삼성전기 2. 삼성SDI 3. 제일모직 Ⅳ. 삼성전자 미래 성장동력 확보 단계 ……7 Ⅴ. 삼성 경영성과 향상 ………8 Ⅵ. 향후 전망 ………9 Ⅶ. 시사점 ………9 Ⅰ. 삼성그룹 ..
리포트 > 경영/경제 |
삼성, 미래성장동력, 삼성전략
 삼성의 미래 성장동력 확보 전략 보고서 ( 10Pages )
목 차 Ⅰ. 삼성그룹 신사업 요소 ………3 Ⅱ. 삼성의 미래 성장동력 ………4 1. 녹색 산업과 건강의료 산업 2. 태양전지 사업 3. 자동차용 전지 사업 4. LED 사업 5. 바이오 제약사업 Ⅲ. 삼성의 사업추진 현황 ………6 1. 삼성전기 2. 삼성SDI 3. 제일모직 Ⅳ. 삼성전자 미래 성장동력 확보 단계 ……7 Ⅴ. 삼성 경영성과 향상 ………8 Ⅵ. 향후 전망 ………9 Ⅶ. 시사점 ………9 Ⅰ. 삼성그룹 ..
리포트 > 경영/경제 |
삼성, 미래성장동력, 삼셩전략
 피코리터단위(나노이하단위) 의 초정밀 밸브자료 ( 1Pages )
한방울의 (물)또는 액체를 1/15,000 까지 원하는 양으로 분리 가능한 장치 (육안으로 식별불가능) 나노 바이오 전자공학 계통에서 사용 사용범위: 정밀전자 산업 (핸드폰 카메라 ,광파이버 센서, 초소형 LED 제조,등 정림스피커 제조,) 정밀 기계산업 (정밀베어링 윤활, 특수 냉각제 토출등.) 의학바이오 (바이오칩 생산 실험용,) 사용되는 장비임
정보/기술 > 기타 |
 말라리아 퇴치를 위한 소형 전등(자가발전시스템) ( 9Pages )
제 3세계, 소외된 90%를 위한 자가 발전 시스템 말라리아 퇴치를 위한 소형 전등 설계의 목표 자가 발전 장치를 생각한 계기- 전력 부족 자가 발전 장치를 생각한 계기- 말라리아 자가 발전 전등 / 모기 퇴치기 자가 발전 전등 / 모기 퇴치기 와이어 운동 래치기어를 이용한 전력생산 LED와 모기퇴치기 작동 앞으로의 개선방향
리포트 > 공학/기술 |
 외부 양자 효율을 높일 수 있는 방법과 LED 효율 높일 수 있는 방법 ( 7Pages )
1. 외부 양자 효율을 높일 수 있는 방법 1) 수직구조 발광다이오드를 사용한 양자 효율 증가 방법 2) 다공성 알루미나를 사용한 양자 효율 증가 방법 -알루미나를 이용한 OLED의 전기적 광학적 특성을 조사한 결과, 전기적 특성은 소자 내부의 구조가 같으므로 큰 차이가 없다는 것을 알았다. 그러나 발광 휘도 대 전류밀도 특성에서는 소자들 간의 차가 발생하였으며 특히 동공확장 과정을 통하여 동공..
리포트 > 자연과학 |
 광학실험 - 빛의 속도 측정 ( 8Pages )
광학실험 - 빛의 속도 측정 1. 실험목적 공기 중 빛의 속도를 변조 방법(modulation method)으로 측정하고, 투명 고체매질 안에서 빛의 속도를 측정하여 굴절률을 구해본다. 2. 실험이론 빛의 속도는 Maxwell 방정식으로부터 다음과 같이 구할 수 있다. (1) ; 진공의 유전율 ; 진공의 투자율 매질의 굴절률은 진공과 매질 안에서 빛의 속도의 비율이다.() 또한 n은 Maxwell 방정식에서 (2) 로 주어..
리포트 > 공학/기술 |
 [디지털시스템설계] VDHL을 이용한 Dot-matrix활용 ( 14Pages )
≪VDHL을 이용한 Dot-matrix≫ ●목적● 수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때 도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다. 신호등, 시계 만들기 등 여러 가지가 있지만, 글자를 표현하는 것이 의미가 있는 것 같아서 Dot matrix를 했다. 내 마음속에 있는 생각들을 글자로 모두 표..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 치아 미백에 대한 최근 연구 경향에 관한 고찰 ( 1Pages )
치아 미백제가 치아와 치과 재료에 미치는 영향에 관한 고찰 최근 외모에 대한 관심이 급증하면서 치아 미백에 대한 많은 연구들이 이루어지고 있다. 치아 미백은 치아를 삭제하지 않고 간단하게 치아의 색상을 개선하는 이점을 가진다. 치아 미백에는 전문가 미백(in-office bleaching)과 자가 미백(home bleaching)으로 나누어지며, 전문가 미백은 고농도의 과산화수소와 할로겐, 레이저, 플라즈마, LED..
논문 > 의약학분야 |
 [마이크로컴퓨터] 7-세이그먼트 실험 ( 7Pages )
[마이크로컴퓨터] 7-세이그먼트 실험 ● 착시를 이용한 두 자리 7-세그먼트 LED 디스플레이 ● 실험 목적 (1) 타이머/카운터0 오버플로 인터럽트 사용 방법을 익힌다. (2) 두 곳을 빠른 시간차로 번갈아가며 디스플레이하여 서로 다른 두 숫자가 동시에 보이게 하는 착시 현상으로 두 자리 숫자를 디스플레이한다. 개별적으로 2개의 7-세그먼트를 이 용할 경우보다 연결되는 디지털 I/O 핀 수를 감소시키는..
리포트 > 공학/기술 |
 [사례 분석] 네오세미테크 ( 34Pages )
네오세미테크 목차 네오세미테크 소개 유망기업이었던 네오세미테크 우회상장 네오세미테크의 회계부정 회계감사법인 소액주주들의 소송 결론 네오세미테크 네오세미테크 관련 뉴스 네오세미테크 네오세미테크 네오세미테크 태양광 웨이퍼 제조업체인 네오세미테크 반도체가 갖는 에너지 변환 특성을 이용 태양광을 전기로 바꾸어주도록 하여 결국 천연적인 청정 태양광 에너지를 창출 녹색성장기업 유..
비지니스 > 사례분석 |
 기계공학실험(엔코더) ( 8Pages )
□ Encoder의 용도 - Encoder 는 회전각도, 위치 이동량과 같은 아날로그 값을 디지털 값과 같이 취급할 수 있도록 코드화 전기신호로 출력하는 변환기이다. 컴퓨터 주변기기. 계측기기, 산업용 로봇, NC 공작기계 등에 널리 사용되며, 선형위치 및 변위나 직선 이동량을 검출하기 위한 Linear Encoder와 회전속도, 회전량, 각도 등의 검출을 위한 Rotary Encoder가 있다. 이 실험에서는 모터가 무엇을 하..
리포트 > 공학/기술 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 [전자공학] 마이크로 응용설계- 도서관 좌석 시스템 ( 12Pages )
마이크로 응용설계 도서관 좌석 시스템 목차 1. 설계 목표 2. 좌석 시스템 예시 3. Flow Chart 4. 제작 과정 5. 완성사진 6. Trouble Shooting 7. 소스 코드 및 주석 설계 목표 입력 : 키패드를 통하여 자리 인식(7-segment 표시) 출력 : 누른 자리 =] Green LED ON 반납 예정 알림 =] Red RED ON 광운 중앙도서관 좌석 시스템 안내 원하는 좌석을 선택 후 *을 누르십시오 ]]1~64개 좌석 중 선택된 좌..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10