[디지털시스템설계] VDHL을 이용한 Dot-matrix활용
리포트 > 공학/기술
[디지털시스템설계] VDHL을 이용한 Dot-matrix활용
한글
2013.05.08
14페이지
1. [디지털시스템설계] VDHL을 이용한 Dot-mat..
2. [디지털시스템설계] VDHL을 이용한 Dot-mat..
[디지털시스템설계] VDHL을 이용한 Dot-matrix활용
≪VDHL을 이용한 Dot-matrix≫

●목적●

수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때
도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다.
신호등, 시계 만들기 등 여러 가지가 있지만, 글자를 표현하는 것이
의미가 있는 것 같아서 Dot matrix를 했다.
내 마음속에 있는 생각들을 글자로 모두 표현하고 싶지만. 무엇보다도
가장 중요한 것이 내 이름 유 준 호 라는 세 글자이기 때문에, 아쉽지만
이름만 표현하기로 했다.

[Dot matrix의 간단한 작품들]
※ Dot-matrix의 이해 ※

도트 매트릭스란 지하철 전광판에서 볼수 있는 점점으로 글씨나 그림을 표시하는 전자부품이다.
지하철에서나 또는 술집 같은데 광고글씨 지나가는 것이다.
이런 도트 매트릭스의 기본 동작은 아래와 같다.
LED는 발광다이오드이므로 순방향으로 전압이 걸릴때 켜지게 된다. 위 그림에서는 빨간선이 검은선보다 높은 전압이 걸린다면 켜지게 된다.
만약 위 그림과 같이 64개의 다이오드를 켜기위해서는 64개의 MICOM IO 핀이 필요할 수도 있다. 하지만 그것은 매우 번거로운 일이므로 메트릭스를 구현해서 LED를 켜게 된다.
그림을 보면 빨간선 8개와 검은선 8개가 LED들에 연결되어 있다. 빨간선이 5v , 검은선이 0v 라고 하자.(실제 다이오드에 걸리는 전압은 5v가 되면 안되므로 저항등이 필요하지만 여기서는 생략.)
만약 1번 검은색 선에 0v를 연결하고, 2~8번까지 선에 5v를 연결한다고 하자. 그리고 A에만 5v를 연결하고 B-H까지에는 0v를 연결하자.

그러면 어떤 다이오드가 켜지게 될까 A1 다이오드만 켜지게 된다.

정리해보면
....
제8장-국제경영전략-1 System On Chip 설계 및 응용 - 시계 + 스탑워..
[디지털 시스템] 디지털 시계 제작 기업 위험관리 개인 과제
보안시스템_설계 - WireShark을 이용한 IP Head.. [정보공학] Linux 기본 명령어
[디지털 회로설계] VHDL을 통한 Gray Code 설계 [디지털 시스템 설계] 디지털 시계 최종 보고서
고급디지털 회로설계 - 111 DETECTOR 설계 [보관, 창고관리시스템] 디지털피킹시스템, 자..
[경영 데이터베이스] Academic Information Sys.. [전기전자회로실험] 디지털 논리 관련 설계자료
공업수학 - Matlab과제 컴퓨터 응용 시스템 설계 실험 보고서 - verilo..
 
공조설비설계 1층 덕트 계산
공조설비설계 물량산출-덕트
수준측량 보고서
기본측량학실습 - 수준측량
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임