전체 (검색결과 약 206개 중 7페이지)

 전자기 유도를 이용한 멈추지 않는 팽이 ( 14Pages )
전력기기실험 전자기 유도를 이용한 멈추지 않는 팽이 Contents 설계목표 13 Block Diagram and 관련이론 245 팽이의 회전 원리 회로도 and 회로 전체 동작 원리 작품 사진 6 Trouble Shooting 7 설계일정 and 역할 분담 1. 설계목표 전자기 유도의 원리를 이용하여 전자석을 만든 후 이를 이용하여 멈추지 않고 계속 돌아가는 팽이를 구현하며 동시에 모터를 동작 시킨다. 목표 2.Block Diagram 발전기 팽..
리포트 > 공학/기술 |
 [레포트] 맥박센서 제작 ( 13Pages )
report 제목 : 맥박센서 제작 작품 설명 CDS로 혈액의 농도를 감지하여 비교기에서 증폭 후 ADC로 디지털화 한후그 값을 AT89C51로 처리 후 Segment에 출력한다. 작품 부품 (1) AT89C51 (2) 7-segment (common anode) (3) CDS 센서 (4) ADC 0804 (5) Regulator 7805 (6) TR(NPN 2SC945) (7) BUZZER (8) LM224 (9) RED ․ GREEN LED (10) 각 종류의 저항 및 캐패시터 플로우차트 회로도-비교..
리포트 > 공학/기술 |
 BTL,BTL분석,BTL사례,옥외광고,옥외광고사례,옥외광고분석,Outdoor Adberrtising ( 12Pages )
광고계 동향(BTL) [옥외광고] Outdoor Advertising PPT란 옥외광고란 옥외광고 사례 옥외광고의 특징 결론 불특정 다수를 대상으로 하여 옥외의 일정공간에서 일정기간동안 계속적으로 시각적 자극을 주는 광고물의 총칭 넓은 의미로는 간판, 광고탑 등 좁은 의미로는 광고게시의 장소를 제공하는 옥외 구축물 현수막, 애드벌룬, 간판(LED), 버스랩핑 등 환경과 자연보호를 위해 다른 광고보다 특히 ..
리포트 > 경영/경제 |
 엘지전자,3D,3D시장,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 17Pages )
목차 서론 1. INFINIA 선정 동기 2. LG 전자 소개 3. TV시장 현황 4. LG전자 INFINIA 본론 5. S.T.P 분석 6. SWOT 분석 7. 4P 분석 결론 1. INFINIA 선정 동기 삼성은 3D LED TV를 런칭함에 있어 기존 브랜드인 PAVV에 단지 확장을 시도하여 PAVV Full HD 3D LED TV로 네이밍한 것에 반해 LG는 신제품 3D LED TV에 기존 브랜드인 Xcanvas에서 벗어나 새로운 브랜드로 INFINIA를 런칭하였다. INFINIA는 ..
리포트 > 경영/경제 |
 tradeKorea를 활용한 전자무역 성공사례 ( 9Pages )
tradeKorea를 활용한 전자무역 성공사례 1. tradeKorea를 함께 LED로 세계를 밝히다 * 인탑스(주) 개요 혁신을 통한 최우수 기업이 되도록 하겠습니다. 1981년 인탑스(주) 설립후 1992년 전북 정읍시에 별도법인으로 설립하여 광주광역시로 이전하여 운영한지 19년이 되어갑니다. 당사는 호남권 최고의 금형개발, 사출성형 및 전자제품 ASSY 기술을 바탕으로 진공청소기, 에어컨, 냉장고 부품을 제조하..
리포트 > 공학/기술 |
 논리회로실험 - encoder decoder 7segment[7세이그먼트] ( 24Pages )
실험 목적 - Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다. - 7 - segment LED decoder를 이해하고, 특성을 실험으로 익힌다. 실험 과정 1.4X2 encoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 인코더 회로를 구현한 후 Modelsim 값과 DE2-115에서의 동작을 확인한다. 1) Quartus Ⅱ 구동 후 4X2 encoder 회로를 [그림 14]처럼 구성하고 Modelsim을 이용하여 파형을 확인 후 DE2-115보드에..
리포트 > 공학/기술 |
 마이크로프로세서 응용 및 실험 - ADC UART ( 9Pages )
마이크로프로세서 응용 및 실험 - ADC UART 1. 설계과제의 목표 및 제한조건 ◎ 제목 : 통신을 이용한 시간설정 기능이 있는 간이 시계 ●시간(0~59초)을 PC화면에 통신을 이용하여 표시 ●제한조건 - 준비물 : 가변저항(1개), 마이컴, 직렬통신선, LED 5개 - 입력전압 범위 : 0 ~ 5V - 마이컴은 A/D 값을읽어 소수점 앞자리 숫자에 해당하는 LED수를 점등 - 시간 설정 모드는 진입 및 해제 조건 : s ..
리포트 > 공학/기술 |
 실험보고서 - 마이크로컨트롤러 기능; 타이머와 카운터 ( 7Pages )
결과보고서 마이크로컨트롤러 기능 : 타이머와 카운터 1. 관련 이론 보통 타이머와 카운터를 하나로 칭하여 다루고 있으나 타이머 인터럽트는 내부 클럭을 통해 카운트되어 정해진 카운트가 될 때마다 인터럽트를 발생시키는 동작을 한다. 결국 비슷한 동작을 하지만 내부클럭을 사용하는지 외부클럭을 사용하는지에 따라서 구분되는 것 이외에 동일하게 사용되기 때문에 함께 지칭하는 것으로 생각된다..
리포트 > 자연과학 |
 [컴퓨터공학] 맥박센서 제작 - CDS로 혈액의 농도를 감지하여 비교기에서 증폭 후 ADC로 디지털화 ( 12Pages )
report 제목 : 맥박센서 제작 작품 설명 CDS로 혈액의 농도를 감지하여 비교기에서 증폭 후 ADC로 디지털화 한후그 값을 AT89C51로 처리 후 Segment에 출력한다. 작품 부품 (1) AT89C51 (2) 7-segment (common anode) (3) CDS 센서 (4) ADC 0804 (5) Regulator 7805 (6) TR(NPN 2SC945) (7) BUZZER (8) LM224 (9) RED ․ GREEN LED (10) 각 종류의 저항 및 캐패시터 플로우차트 회로도-비교..
리포트 > 공학/기술 |
 디지털공학 실험 - 수 체계 실험 ( 5Pages )
제목 수 체계 실험목표 □2진수 또는 BCD(binary code decimal) 수를 10진수로 변환. □BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성. □모의실험용으로 결함을 만들어 놓은 회로의 고장 진단. 사용 부품 LED 4개 7447A BCD/10진 디코더 MAN72 7-세그먼트 디스플레이 4조 DIP 스위치 저항: 330Ω 11개, 1.0kΩ 1개 실험순서 1. 이 실험의 회로를 구성하기 전에 ‘실험 개요’의 ‘회로 결선..
리포트 > 공학/기술 |
 [LG 기업분석] LG 경영전략분석-친환경경영 PPT자료 ( 19Pages )
Ⅰ. 녹색 사업장 조성 Ⅱ. 에너지감시단 운영 Ⅲ. 공정 개선 작업 Ⅳ. 연료전지 사업 Ⅴ. LED조명 사업 Ⅰ. 녹색 사업장 조성 LG는 건물 외부 조도에 따라 조명 밝기를 자동 조절할 수 있는 시스템을 도입 했다. LG 관계자는 “계열사별로 불필요한 전력 사용량을 줄이고 현대적인 시스템을 도입해 녹색 사업장을 만드는 데 힘쓰고 있다”고 말했다. LG는 전자, 화학, 통신 등의 다양한 부분에..
리포트 > 경영/경제 |
LG, 기업분석, 경영전략, 친환경경영
 [LG 기업분석] LG 경영전략분석-친환경경영 보고서 ( 7Pages )
Ⅰ. 녹색 사업장 조성 ………3 Ⅱ. 에너지감시단 운영 ………4 Ⅲ. 공정 개선 작업 ………5 Ⅳ. 연료전지 사업 ………6 Ⅴ. LED조명 사업 ………6 Ⅰ. 녹색 사업장 조성 LG는 건물 외부 조도에 따라 조명 밝기를 자동 조절할 수 있는 시스템을 도입 했다. LG 관계자는 “계열사별로 불필요한 전력 사용량을 줄이고 현대적인 시스템을 도입해 녹색 사업장을 만드는 데 힘쓰고 있다”고 말했다. LG는 전자, 화학, 통
리포트 > 경영/경제 |
LG, 기업분석, 경영전략, 친환경경영
 [기계공학 실험] DC모터 및 엔코더[ Encoder] - Matlab을 통한 PID 제어를 실습 ( 7Pages )
[기계공학 실험] DC모터 및 엔코더[ Encoder] - Matlab을 통한 PID 제어를 실습 목 차 □ Encoder의 용도 □ Encoder의 외형과 구조 □ Encoder의 구조와 원리 □ Encoder 분류 □ DC 모터의 구동원리 □ DC 모터의 제어법(PWM) □ 실험을 하면서 느낀점 □ Encoder의 용도 - Encoder 는 회전각도, 위치 이동량과 같은 아날로그 값을 디지털 값과 같이 취급할 수 있도록 코드화 전기신호로 출력하는 변환기이다. ..
리포트 > 공학/기술 |
 롯데마트,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례 ( 8Pages )
롯데마트 소개 1. 가격의 개념과 특성 - 가격은 파괴력이 크다. 가격은 쉽게 바꿀 수 있지만, 가격 이미지는 쉽게 바꿀 수 없다.  이마트는 다른 유통업체보다 싼 가격 을, 롯데마트는 통큰 제품 브랜드를 앞세운 상품 기획 을 강조하고 있다. 두 대형마트가 상이한 시장 전략을 선명하게 드러내며 본격적인 가격 대(對) 상품 전쟁을 벌이고 있는 것이다. 이마트의 가격 인하 정책이나 롯데마트의 상품 기..
리포트 > 경영/경제 |
 STM32 uCOS-ii / FreeRTOS 포팅 소스 ( 1931Pages )
STM32에 uCOS-ii와 FreeRTOS를 포팅한 소스입니다. 풀소스를 압축하였기 때문에 바로 구현하고자하는 프로젝트에 적용가능합니다. RTOS 어렵지 않습니다. 직접 한번 사용해 보시기 바랍니다. STM32F103 RTOS 포팅 소스 자료 소개 STM32F103의 uCOS-ii / FreeRTOS포팅 소스와 테스트 보드 회로도 입니다. RTOS 예제는4개의 TASK가 생성되며 0.5초 간격으로 LED를 깜빡이도록 되어 있습니다. 기본 포팅 소스..
정보/기술 > 전기전자 |
STM32, RTOS, uCOS-ii, FreeRTOS
1 2 3 4 5 6 7 8 9 10