전체 (검색결과 약 206개 중 5페이지)

 기초전자공학 - 센서(광센서, 근접센서, 로드셀) 실험 ( 13Pages )
1. 광센서 (1) 관련 이론 광센서란 빛을 이용하여 대상을 검출하는 소자이다. 그 원리는 투광부에서 방사된 Plus변조광이 검출물체가 검출영역에 들어감에 따라 수광 소자에의 입사광이 증가(또는 감소)하게 되고 이 증가(또는 감소)한 입사광이 정류신호 레벨이 동작 레벨에도달하면 출력을 내어 주는데 발광소자 즉, LED를 포함하여 간략히 보면 다음과 같다. 발광 소자(LED) LED는 현재 파장이 480nm..
리포트 > 공학/기술 |
 멀티미터 전원공급기 ( 6Pages )
직류 전원 공급기 1. 실험목적 ①전원 공급기의 사용법과 기능을 익힌다. 2. 직류 전원 공급기(HC-233AD 모델) (1)패널(panel)및 기능 ① 전원 스위치 : ON으로 전원 공금 ② CURRENT ADJUST : 정전류시 출력 전류 조정 노브 ③ VOLTAGE ADJUST : 정전압시 출력 조정 노브 ④ (-) TERMINAL : 부( - )극 출력 단자 ⑤ (+) TERMINAL : 정( +)극 출력단자 ⑥ GND TERMINAL : 접지 단자로 어스에 접지하여 사..
리포트 > 자연과학 |
 실험보고서 - 7세이그먼트 디스플레이 구현 ( 5Pages )
1. 실험목적 : 2개의 스위치를 이용하여 7Segment 를 구현한다. 7Segment 0~3까지 출력이 되도록 진리표와 카르노맵을 통하여 논리회로도를 작성한다. 2. 자료조사 [7 Segment 기본구조] [7-세그먼트 표시장치] 7-세그먼트 표시장치는 일반적으로 LED와 LCD를 사용하여 구현횐다. LED 표시장치에서 각가의 7-세그먼트는 전류가 흐를 때 어둠 속에서 볼 수 있는 유색 광의 발광 다이오드를 사용한다. LCD ..
리포트 > 자연과학 |
 디지털 실험 보고서 - 스위칭 회로 (pspice자료까지 첨부) ( 9Pages )
스위칭 회로 § 실험 목적 -AND, OR 논리의 진리표를 결정한다. -Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다 -Switching의 개념을 공부한다. § 실험부품 및 사용기기 SPST 스위치 DPST 스위치 릴레이(5V 동작) LED(red 또는 임의의 색) 릴레이(5V 동작) 5V 직류 전압전원장치 저항기 330 § 이론요약 디지털 논리는 단지 두 개의 조건만을 취급한다. 논리“1” 또는 논리 “0”. Switch는 ..
리포트 > 공학/기술 |
 한국공항공사 전자통신 직무 첨삭자소서 ( 9Pages )
OOO에서 2000건이 넘는 LED 시험인증과 신뢰성 경험을 바탕으로 LED 조명을 사용한 전력 다이어트에 동참하고 가시광통신을 활용하여 공항공사의 기술업무에 기여하겠습니다. 한국sqrt광기술원에서 2000건이 넘는 LED 시험인증과 신뢰성 경험을 바탕으로 LEDs q rt 조명을 사용한 전력sq rt 다이어트에 동참하고 가시광s q rt통신을 활용하여 공항공사의 기술업무에 기여하겠습니다. 한국광기술원에서 2천건..
서식 > 자기소개서 |
rt, q, 업무, s, 통신, 시험, led, 기술, 네트워크, 장비, 전력, 지원, 인증, 조명, 대한, 경험, 직원, 항공사, 되어다, 기여
 [필립스]필립스 성공요인 분석 ppt자료 ( 24Pages )
목 차 Ⅰ. 필립스의 조명사업 ………3 Ⅱ. 필립스 LED조명 ………4 Ⅲ. 루미레즈 인수 ………5 Ⅳ. 연구개발 집중 ………6 Ⅴ. 다양한 기술보유 ………6 Ⅵ. 디자인 역량 강화 ………7 Ⅶ. 적극적인 투자지속 ………7 Ⅷ. 향후 전망 ………8 Ⅸ. 시사점 ………8 Ⅰ. 필립스의 조명사업 유럽 최대 전자업체 필립스를 이끌고 있는 제라르 클라이스터리 회장은 2006년 고심 끝에 반도체 사업부를 매각하기로 했
리포트 > 경영/경제 |
필립스, 필립스성공요인, 성공사례
 [필립스]필립스 성공요인 분석 보고서 ( 9Pages )
목 차 Ⅰ. 필립스의 조명사업 ………3 Ⅱ. 필립스 LED조명 ………4 Ⅲ. 루미레즈 인수 ………5 Ⅳ. 연구개발 집중 ………6 Ⅴ. 다양한 기술보유 ………6 Ⅵ. 디자인 역량 강화 ………7 Ⅶ. 적극적인 투자지속 ………7 Ⅷ. 향후 전망 ………8 Ⅸ. 시사점 ………8 Ⅰ. 필립스의 조명사업 유럽 최대 전자업체 필립스를 이끌고 있는 제라르 클라이스터리 회장은 2006년 고심 끝에 반도체 사업부를 매각하기로 했
리포트 > 경영/경제 |
필립스, 필립스성공요인, 성공사례
 소비자와마케팅,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 32Pages )
소비자와 마케팅 Intro TV는 바보상자 Intro 스마트 TV란 TV+인터넷 기능 을 동시에 제공하는 다기능 지능형 기기 TV에서도 다양한 어플리케이션을 이용 가능 Intro 스마트 TV 등장배경 123 소비자의 라이프스타일 변화 네트워크 인프라의 발전 TV의 대체제의 확산 Intro 주제선정이유 Intro product Maketing mix PRODUCT 12345 LG 스마트 TV 종류 LG 시네마 3D (눈이 편안한 LG 시네마 3D) 세계 최대 F..
리포트 > 경영/경제 |
 전자회로설계 - 자판기 회로 설계 및 제작 ( 12Pages )
자판기 회로 설계 및 제작 목 차 1. 실험 설계 목적 2. 실험 설계 주제 3. 제품 사양 4. 설계 일정 및 변경 과정 A. 1차 B. 2차 C. 3차 5. 상태도 도출 6. 입력 변수와 상태 변수, 출력 변수 설정 7. 진리표 도출 8. 카르노 맵 간소화 과정 9. 전체 회로도 10. 구성원 역할 11. 동작 매뉴얼 12. 자체 평가 및 반성할 점 1. 실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 ..
리포트 > 공학/기술 |
 자동차 공학 실험 - 디젤 엔진 시뮬레이션 실험 ( 7Pages )
자동차 공학 실험 - 디젤 엔진 시뮬레이션 실험 1. 실험 개요 및 목적 최근 커먼레일을 탑재한 전자제어 방식의 고압분사 시스템이 상용화 됨에 따라 전통적인 기계적 직분식 디젤엔진이 가지고 있는 매연, NOx, 소음, 소음/진동 들의 문제를 상당 부분 해결함으로써 연비 측면에서 매우 우수한 디젤엔진은 미래의 내연기관으로 확고한 자리 매김을 할 것으로 예상된다. 특히 중대형 차량용, 산업용 엔진..
리포트 > 공학/기술 |
 [마이크로프로세서 시스템] 7-segment구동[7-세이그먼트] ( 19Pages )
7-Segment 구동 7-Segment Flexible Numerical Display (FND) 장치 LED를 배열하여 글자를 표현할 수 있도록 한 장치 dot를 제외하면 7개의 LED로 숫자를 표시 하나의 7-sement를 구동하기 위해 8비트 출력포트 1개가 필요 종류 Anode common 형 Cathode common 형 2 S-3191ASR(10mm*14mm) Anode 공통 7-Segment 3 Cathode Common 7-Segment 16진수 표시 코드값 4 Anode common은 코드 값에 not를 취함 과..
리포트 > 공학/기술 |
 LG전자 연구개발 직무 첨삭자소서 ( 6Pages )
스스로 빛을 내는 LED에 흥미를 느끼자마자 직접 만들어보고 싶다는 생각을 했습니다. 이를 바탕으로 LG전자의 핵심 인재가 되도록 노력하겠습니다. 스스로 빛을 내는 LED에 흥미를 느끼자마자(빠져들어) 직접 만들어보고 싶다는 생각을 했(었)습니다. 이 과정에서 팀원들과 같이 밤을 새우며 연구를 했던 경험은 제게 포기하지 않는 끈기를 (열정을) 가르쳐주었습니다. 이를 바탕으로 (입사하면,) LG전자(R..
서식 > 자기소개서 |
경험, 기술, 본인, 역량, 공정, 기반, 되어다, led, 대하, 만들다, 먼저, 가다, 가장, 생각, 관련, 지원, 입사, 핵심, 바라다, 참여
 대학물리실험 - 정류 회로 ( 14Pages )
정류 회로 목 차 1. 실험목표 2. 배경이론 3. 실험 방법 4. 실험 결과 5. 고찰 다이오드 개수와 저항의 크기 그리고 축전기의 크기변화에 따른 전압의 변화. 다이오드와 축전기의 역할 실험 목표 4개의 다이오드를 사용한 회로는 브리지정류라고도 불리며 전파정류의 일종이다. 4개의 다이오드 이외 또 다른 전파정류 배경 이론 Sine 파 정류하기 (1) 다이오드에 1K옴 저항을 연결하여 실험 (2) 다이오드를..
리포트 > 자연과학 |
 엔코더, 스텝모터 실험 ( 7Pages )
실험 제목 Encoder 실험 실험 목적 회전 각도를 측정하는 증분식 Encoder의 기능 실험 실험 준비물 준비물: 증분식 엔코더 및 step motor 실험 셋 실험 방법 1. 그림과 같은 Encoder 실험 세트를 적색선을 +5V, 흑색선을 GND에 연결 2. 실험 세트의 A,B를 (저항과 LED 사이) 오실로스코프에 연결 3. Encoder의 축을 시계방향으로 천천히 회전시키면서 오실로스코프의 파형을 관찰하고 파형과 LED의 표시..
리포트 > 공학/기술 |
 오감(five_senses)_마케팅,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅, ( 13Pages )
오감(five senses) 마케팅 목차 시각마케팅 청각마케팅 촉각마케팅 후각마케팅 미각마케팅 시각마케팅 해외 삼성 3D LED TV 광고. (국내 미방영) 착시현상과 시각적인 아름다움을 표현함. 시각마케팅 가전제품 매장에서 3D TV 주위에 3D 안경을 준비해 놓고 「직접 보고 느껴봐라」 마케팅으로 시각적으로 다가가고 있다. 청각마케팅 ....
리포트 > 경영/경제 |
1 2 3 4 5 6 7 8 9 10