전체 (검색결과 약 111개 중 5페이지)

 SK하이닉스 양산기술 합격 자기소개서 ( 3Pages )
그러니 메뉴를 이해하기 쉬워질 뿐 아니라 저만의 번역기를 만들었다는 생각에 더욱 집중할 수 있었습니다. 저는 반도체 관련 전공 수업을 수강하며 반도체 소자와 공정에 대한 이론적인 이해를 위해 노력하였습니다. 따라서 저는 우리나라에서뿐만 아니라, 다른 나라의 전자공학을 전공하는 학생들의 반도체에 대해 이해하는 방식에 대해서 알고 싶었습니다. 그렇게 우리나라에 뿐만 아니라 일본에서도 반..
서식 > 자기소개서 |
반도체, 소자, 노력, 이해, 이다, 프로젝트, 통해, 위해, 되어다, 경험, 공정, 메뉴, 받다, 구조, 수강, 코딩, 관련, 구현, 이론, 전자공학
 논리회로설계 - 영상 스크램블러 ( 28Pages )
논리회로설계 프로젝트 자유주제 : 영상 스크램블러 작품설명 요즘 시대적으로 보안이라는 말에 상당히 민감하다 해킹을 당하여 정보를 도난당하는등 사회적으로 문제가 대두되고 있는데, 만약 전송되는 데이터를 암호화하여 전송하고 다시 수신측에서는 그 암호화와 같은 알고리즘으로 수신을 하면 도중에 정보를 가로채가더라도 암호알고리즘을 알지못하면 무용지물이 되어 정보보호가 조금더 되지않을..
리포트 > 공학/기술 |
 프로그래밍은 여러 단계의 과정 ( 7Pages )
제1장 1.프로그래밍은 여러 단계의 과정이 순서대로 진행되는데. 그 과정은 요구사항 분석 및 기술, 프로그램 설계, 프로그램 개발, 프로그램 테스트 및 디버깅, 문서화 및 유지보수의 단계로 구성된다. 2.이벤트 중심형 처리방식은 프로그램 작성과 처리를 이벤트가 발생하는 행위에 맞추어 하나씩 전개해 나가는 방식으로서, 이벤트 하나하나에 독립적으로 프로그래밍을 할 수 있다. 3.비주얼 베이직에서 ..
리포트 > 공학/기술 |
공학, 기술
 LG CNS 합격 자기소개서 ( 3Pages )
본사에서 인턴생활을 한 과 동기를 통해 회사를 알게 되었는데, 통계학도로서 실제 데이터를 이용해 아이디어를 내고, 분석해 그 결과가 쓸모 있게 쓰이게 되는 보람을 느끼며 일할 수 있는 곳이라고 생각했습니다. 데이터 분석가로서 전문성을 기르기 위해 저는 분석의 예시를 보며, 자료에 접근하고 결과를 해석하는 다양한 방법을 익혀 직접 프로젝트를 진행해 보았습니다. 새로운 시각으로 데이터를 보..
서식 > 자기소개서 |
분석, 데이터, 이용, 다양하다, 통계, 얻다, 역량, 통해, 수업, 회사, lg, cns, 익히다, 싶다, 혁신, 기술, 코딩, 의미, 가장, 보다
 조사론 ( 29Pages )
질적 자료 분석 목 차 1. 질적 자료의 수집 1) 면접 2) 관찰 3) 일정 기록과 일지 4) 문헌 및 기록물 검토 2. 질적 자료의 체계적 정리 1) 기록 정리 2) 자료의 조직화 3) 기록에 대한 코딩 4) 데이터에서 갭의 발견 3. 질적 자료의 분석 1) 분석을 위한 계획 2) 범주화 3) 자료 해석하기 4) 타당도 검증 1. 질적 자료의 수집 질적 조사에서 자료 수집방법은 조사대상자, 다양한 기록물, 조사..
리포트 > 경영/경제 |
 디지털 회로설계 - 고속 동작 덧셈기 설계 ( 15Pages )
1. 제목 : 고속 동작 덧셈기 설계 2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의 사용법을 익힌다. 3. 목표 및 기준 설정 - 설계 목표 : 빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder와 Carry Select Adder를 설..
리포트 > 공학/기술 |
 디지털공학 실험 - 수 체계 실험 ( 5Pages )
제목 수 체계 실험목표 □2진수 또는 BCD(binary code decimal) 수를 10진수로 변환. □BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성. □모의실험용으로 결함을 만들어 놓은 회로의 고장 진단. 사용 부품 LED 4개 7447A BCD/10진 디코더 MAN72 7-세그먼트 디스플레이 4조 DIP 스위치 저항: 330Ω 11개, 1.0kΩ 1개 실험순서 1. 이 실험의 회로를 구성하기 전에 ‘실험 개요’의 ‘회로 결선..
리포트 > 공학/기술 |
 늦잠과 의욕의 상관관계 - 늦잠과 자기 자신의 삶에 대한 의욕 사이의 상관관계 ( 8Pages )
늦잠과 의욕의 상관관계 늦잠과 자기 자신의 삶에 대한 의욕 사이의 상관관계 목 차 01 서론 02 본론 1. 조사 응답자들의 특성 2. 늦잠과 삶의 의욕에 관한 정의 ⓿ 3. 늦잠과 삶의 의욕에 관한 상관관계 분석 ⓿⓿ 1. 조사 항목 사이의 교차분석 2. 상관관계 분석 결과 정리 03 결론 04 부록 1. 코딩지침서 ⓿⓿ 2. 기술통계 1. 질문지와 빈도분석 2. 통계 서론 이 연구는 늦잠과 각각 개인의 삶에 대한..
리포트 > 생활/환경 |
 [과학과 생활환경] 주위에서 찾을 수 있는 과학적 사실 기술 - MP3기술 ( 4Pages )
제 목 : 주위에서 찾을 수 있는 과학적 사실 기술 주위에서 찾을 수 있는 과학적 사실 -] MP3의 작동 원리. 선택한 배경 -] 학교 수업을 마치고 친구를 만나러 버스를 타고나서 이어폰을 꼿고 mp3 듣다가, 과연 이 처음부터 끝까지 완벽한 노래파일을 어떻게 만들어 냈는지 궁금해졌다. 뿐만 아니라 가수가 노래를 부른 것이 이 파일에 어떤 식으로 저장이 되며, 우리 귀에 재생이 될 수 있는지 알고 싶..
리포트 > 자연과학 |
 SK하이닉스 공정 R&D 합격 자기소개서 (2) ( 3Pages )
저는 능숙하고 현장 경험 많은 근무자가 있음에도 어떤 문제가 발생한다면 그 문제에 대해서 아무도 모를 가능성이 크다고 생각합니다. 석사연구를 진행하면서 현장에 서 있을 법한 문제에 부딪힌 적이 있습니다. 그래서 저는 R& D인재의 기초는 수학적 능력이라고 생각합니다. 가치를 공유할 줄 아는 인재가 되겠습니다." 저는 모임의 존속을 위해 '생각나눔'을 공유가치로 제안하여 두 친구에게 도움을 ..
서식 > 자기소개서 |
문제, 생각, 수학, 대한, 진행, 친구, 경험, 통해, 제공, 때문, 가치, 많다, 인재, 구성원, 인원, 공유, 되어다, 키우다, 미분방정식, 새롭다
 [VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 ( 11Pages )
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용..
리포트 > 공학/기술 |
 Astec Marketking Research,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 28Pages )
마케팅 리서치 보고서 Astec Marketking Research Questions [1] 1. Marketing research involves the identification, collection, analysis, and dissemination of information. Explain how each of these phase of marketing research applies to Astec s problem. (마케팅 리서치는 identification(확인), 수집, 분석, 정보의 보고과 관련 있다. 이들 각 단계는 Astec의 문제에 어떻게 적용할 수 있는..
리포트 > 경영/경제 |
 LIVE CAM SYSTEM 사업계획서 ( 18Pages )
사업 계획서(Live Cam System) 대표 약력 I. 회사 개요 경영 목표 투명 경영 경영의 투명성으로 신뢰 받는 경영 환경 조성 고객 만족 경영 고객의 욕구를 만족 시키는 고객 만족 경영 연구 개발 끊임없이 연구 개발하는 분위기 정착으로 새로운 연구 문화 창조 미래 개척 정신 미래의 인류 생활의 풍요로움을 위해 미지의 세계를 개척 이윤의 사회 환원 기업의 이윤을 사회에 되돌려 주는 사회 분위..
비지니스 > 사업계획서 |
 LIVE CAM SYSTEM 사업계획서 ( 18Pages )
사업 계획서(Live Cam System) 대표 약력 I. 회사 개요 경영 목표 투명 경영 경영의 투명성으로 신뢰 받는 경영 환경 조성 고객 만족 경영 고객의 욕구를 만족 시키는 고객 만족 경영 연구 개발 끊임없이 연구 개발하는 분위기 정착으로 새로운 연구 문화 창조 미래 개척 정신 미래의 인류 생활의 풍요로움을 위해 미지의 세계를 개척 이윤의 사회 환원 기업의 이윤을 사회에 되돌려 주는 사회 분위..
비지니스 > 사업계획서 |
 기저대역 ( 13Pages )
1. 베이스밴드 전송(baseband transmission) 1)정의 디지털 데이터를 그대로 보내거나 또는 전송로의 특성에 알맞은 부호(전송부호) 로 변환시켜 전송하는방식으로 전송 부호의 종류에는 데이터 코딩 페이지에 있는 바와 같이 RZ, NRZ, AMI(Bipolar), Manchester, CMI 등 여러 가지 종류가 있다. 2)특성 - 아날로그 데이터에 대해서는 베이스 밴드 전송이라는 말을 잘 사용하지 않는다. - 가장 단순한 ..
정보/기술 > 정보통신 |
1 2 3 4 5 6 7 8