[디지털 회로설계] Moore , Mealy Type Finite State Machine
리포트 > 공학/기술
[디지털 회로설계] Moore , Mealy Type Finite State Mac..
한글
2013.07.19
9페이지
1. [디지털 회로설계] Moore , Mealy Type Fin..
2. [디지털 회로설계] Moore , Mealy Type Fin..
[디지털 회로설계] Moore , Mealy Type Finite State Machine
디지털 회로설계

1. 제목 : Moore / Mealy Type Finite State Machine

2. 개요 :
Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대하여 각각 VHDL code를 작성하여 시뮬레이션을 수행하여 미리 예상한 결과와 비교하여 본다. 이를 통해 Moore type과 meanly type의 이해도를 높이고 그 동작을 비교하여 파악한다. D F/F의 응용회로 설계 능력을 키운다.

3. 이론

(1) sequential circuit
입력이 시간적으로 차례차례 가해질 경우, 어떤 정해진 입력계열에 대해 특정한 응답을 하는 전기회로를 가리킨다. 회로의 내부에 미리 와 있던 논리신호계열에 따른 기억상태가 있는데, 미리 정해진 순서로 도달했을 때에 처음으로 출력이 나타나도록 구성한다. 전화교환이나 텔렉스 등 오차를 적게 해야 하는 부호회로에 널리 사용된다

(2) Moore type
출력결과가 현재의 상태에만 영향을 받는 회로를 말한다.

(3) Mealy type
출력결과가 현재의 상태에도 영향을 받고, 입력 값에도 영향을 받는 회로를 말한다.

4. 설계과정

(1) Moore type

-State table

Present state
Next state
Output
z
w=0
w=1
ABA0BAC1CDC0DCA1

- State assigned map

Present state
Next state
Output
z
w=0
w=1
y2y1
Y2Y1
Y2Y1
A
00
01
00
0B
01
00
10
1C
10
11
10
0D
11
10
00
1

- Y1의 카르노맵

w y2y1
00
01
11
10
01
....
컴퓨터 응용 시스템 설계 실험 보고서 - verilo.. 고급디지털 회로설계 - 111 DETECTOR 설계
디지털회로 설계언어 프로젝트 - 자판기 코딩에.. [디지털논리회로] TTL[Transistor Transistor L..
기초부터 배우는 디지털 회로설계 [디지털 설계] 디지털 IC회로 설계
[산업디자인] 슈퍼 그래픽 디자인 황의 법칙
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [전기전자회로실험] 디지털 논리 관련 설계자료
[전자공학설계실험] 자동 세척기( Automatic Wa.. 자동제어실험 - flexible link
Contribution Receipt [조직이론과 설계 사례 분석] Aliesha state co..
 
수준측량 보고서
기본측량학실습 - 수준측량
공조설비설계 물량산출-덕트
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임
공학윤리 사례 분석