전체 (검색결과 약 491개)

 엔 캐리 트레이드(Yen Carry Trade) ( 21Pages )
본 자료는 엔 케리 트레이드의 기본적인 개념과 그 유형을 비롯하여 엔 케리 트레이드 청산시의 영향과 향후 전망 등에 대해 상세하게 정리한 자료임 [대상] 경제학과, 국제통상학과 [과목] 국제금융론, 일본 경제론, 세계경제론 0 엔 캐리 트레이드란 0 엔 캐리 트레이드 유형 0 엔 캐리 트레이드 청산 시 영향 0 엔 캐리 트레이드의 현황 0 엔 캐리 트레이드 향후 전망 0 엔 캐리 트레이드의 향후 전망 ..
리포트 > 경영/경제 |
Yen Carry Trade, 엔 캐리 트레이드, 엔 케리 트레이드 유형, 엔 케리 트레이드 청산
 향후 엔고현상이 지속될가능성,엔고현상,엔화강세,일본지진 후쿠시마원전사고이후 엔고현상 ( 15Pages )
향후 엔고현상이 지속될 가능성 일본지진·후쿠시마 원전사고 그 이후 선정동기 엔고현상에 관해 -미국의 팽창적인 통화정책 -엔캐리트레이드 2 향후 엔고현상이 지속될 가능성 -지진 피해 복구로 인한 엔화 수요 증가 -이전 한신 대지진 경험에 따른 학습효과 -지속될 경우 수혜 종목 3. 각 기관들의 전망 우리 조가 생각하는 앞으로의 전망 및 소감 상반기 가장 큰 이슈라 하면 아마 일본 동북 대지..
리포트 > 경영/경제 |
 국제금융론 중간고사와 답안 ( 3Pages )
본 자료는 국제금융론 중간고사 문제와 답안을 정리한 자료로 환율변동과 경상수지 흑자 그리고 트리핀의 딜레마를 비롯하여 엔케리 트레이드에 대해 상세하게 정리한 자료임 [대상] 경제학과, 국제통상학과 [과목] 국제금융론, 파생금융론, 외환론, 재무관리론 1. 환율변동이 파급효과를 환율하락과 환율상승의 측면에서 비교하여 설명하시오. (5점) 2. 경상수지 흑자는 많을수록 좋다는 것에 대해 ..
리포트 > 경영/경제 |
트리핀의 딜레마, 브레튼 우즈체제, 엔케리 트레이드, Yen Carry Trade
 영문 수탁가공무역계약서(Bonded Processing Trade Contract) ( 2Pages )
BONDED PROCESSING TRADE CONTRACT This contract is made and agreed on this Nineteenth day of August,19___by and between the following parties concerned: American Trade Inc., hereinafter referred to as Party A, having their head office at San Francisco, U.S.A.and Dae Yang Trading Co., Ltd., hereinafter referred to as Party B, having their head office at Seoul, Kore..
서식 > 계약서 |
 BONDED PROCESSING TRADE CONTRACT ( 2Pages )
BONDED PROCESSING TRADE CONTRACT This contract is made and agreed on this Nineteenth day of August,19___by and between the following parties concerned: American Trade Inc., hereinafter referred to as Party A, having their head office at San Francisco, U.S.A.and Dae Yang Trading Co., Ltd., hereinafter referred to as Party B, having their head office at Seoul, Kore..
서식 > 계약서 |
 무역_계약서2 ( 1Pages )
보세가공무역계약서 (BONDED PROCESSING TRADE CONTRACT) 영문판입니다. This contract is made and agreed on this Nineteenth day of August,19___by and between the following parties concerned: BONDED PROCESSING TRADE CONTRACT This contract is made and agreed on this Nineteenth day of August,19___by and between the following parties concerned: American Trade Inc., hereinafte..
서식 > 계약서 |
exports, guarantees, Shipments
 [컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation ( 21Pages )
CSA (Carry Select Adder) Design and Simulation Contents 2 1. Carry-Save Number Representation 3 2. An Outline of Adder 3 2.1 Ripple Carry Adder 3 2.2 CLA (Carry Look Ahead Adder) 4 2.3 CSA (Carry Select Adder) 5 3. An Outline of CSA 6 4. A Specific Logic Design 7 4.1 Full Adder of 1 bit 7 4.2 Ripple Carry Adder of 4-bits 7 4.3 Multiplexer 8 4.4 Put Together and Merg..
리포트 > 공학/기술 |
 디지털 회로설계 - 고속 동작 덧셈기 설계 ( 15Pages )
1. 제목 : 고속 동작 덧셈기 설계 2. 목적 VHDL을 이용한 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법에 따른 여러 가지 덧셈기 구조들을 익히며, VHDL의 코딩 방법을 익히고 시뮬레이션 툴의 사용법을 익힌다. 3. 목표 및 기준 설정 - 설계 목표 : 빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder와 Carry Select Adder를 설..
리포트 > 공학/기술 |
 [의학] 뇌혈관 해부학과 뇌혈과 손상시 임상양상 ( 27Pages )
1 Role of Blood Supply Nutrition to parenchyma (brain cells). Brain is completely dependent on oxygen supply - No O2 reserves. Irreversible damage in 4-6 minutes if no oxygen Brain requires 20% of O2 for 2% of body weight. Brain requires glucose for energy No ability to use fat Remove carbon dioxide and waste-products from cells Cerebral perfusion ] 60 ml/100gr min-1 in Gray..
리포트 > 의/약학 |
 [디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 ( 9Pages )
디지털 회로설계 1. 제목 : 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 2. 개요 : 1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하는 방식을 익혀 계층구조를 이해하고 VHDL의 PORT MAP 사용법을 익힌다. 2) 방법 : [1-bit adder] (1) 1 bit adder의 truth table을 구하고 카르노맵을 이용하여 minimization한다. (2) 최소..
리포트 > 공학/기술 |
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 SongoftheOpenRoad ( 3Pages )
1.원문 Song of the Open Road from Song of the Open Road Afoot and light-hearted I take to the open road, Healthy, free, the world before me, The long brown path before me leading wherever I choose. Henceforth I ask not good-fortune, I myself am good-fortune, Henceforth I whimper no more, postpone no more, need nothing, Done with indoor complaints, libraries, querulous cri..
리포트 > 기타 |
리포트, 레포트
 영화감상문 돌로레스클레이본 ( 6Pages )
[ 돌로레스 클레이본 ] (DOLORES CLAIBORNE) 이 영화는 미국 공포 소설의 거장인 Stephen King 원작의 심리 미스터리 스릴러물이다. 으스스한 느낌이 나는 작품을 잘 쓰는 천재적 작가인 그의 작품은 영화화 된 것이 매우 많다. 그런데 그의 소설이 TV시리즈나 영화로 상영된 것을 살펴보면 대부분의 작품들이 원작에 못 미치는 실정이다. 그의 대표적인 상영된 작품들은 다음과 같다. [Carrie(1976)],..
리포트 > 예체능 |
감상문
 사우스웨스트,영문,항공산업,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 15Pages )
Financial analysis of Southwest Airlines Contents 1. Introduction 2. Financial Reports 3. Analysis of 10 numbers 4. Analysis of 5 ratios 5. Conclusion 1. Introduction Southwest Airlines Co. is an American low-cost airline based in Dallas, Texas. Southwest Airlines traces its roots to the March 15, 1967 incorporation of Air Southwest Co. by Rollin King and Herb Kellehe..
리포트 > 경영/경제 |
 [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 ( 9Pages )
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각..
리포트 > 경영/경제 |
1 2 3 4 5 6 7 8 9 10