[컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation
리포트 > 공학/기술
[컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design..
한글
2011.04.29
21페이지
1. [컴퓨터공학] 논리회로 CSA (Carry Select ..
2. [컴퓨터공학] 논리회로 CSA (Carry Select ..
[컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation
CSA (Carry Select Adder) Design and Simulation

Contents 2

1. Carry-Save Number Representation 3
2. An Outline of Adder 3
2.1 Ripple Carry Adder 3
2.2 CLA (Carry Look Ahead Adder) 4
2.3 CSA (Carry Select Adder) 5

3. An Outline of CSA 6
4. A Specific Logic Design 7
4.1 Full Adder of 1 bit 7
4.2 Ripple Carry Adder of 4-bits 7
4.3 Multiplexer 8
4.4 Put Together and Merge 8

5. A Design and Simulation of CSA with MAX+plus II 8
5.1 Full Adder of 1 bit 8
5.2 Ripple Carry Adder of 4-bits 9
5.3 Multiplexer 9
5.4 Simulation of CSA (Carry Select Adder) 10
6. An Analysis of CSA using MAX+plus II 11
6.1 Simulation with Wavefirn Editor 11
6.2 Timing Analyzer, Delay Matrix 13
7. VHDL with Xilinx ISE 6 Project Navigator 14

Appendix 16
1. A Figure of *.Gdf file with MAX+plus II 16
....
디지털 회로설계 - 고속 동작 덧셈기 설계 기계자동차공학 실험 - AND, OR, NOT 게이트를 ..
[디지털 회로설계] 1-Bit Full Adder를 통한 4-.. 기계자동차공학 실험 - AND, OR, NOT 게이트를 ..
[디지털 회로설계] 4-Bit D Flip Flop 설계 논리회로 실험 - 기초 논리 게이트[basic logic..
전자공학 실험 - 논리 게이트의 특성 및 연산회로 영문 자기소개서
기초회로실험(예비+결과) - 부울대수의 정리 디지털 공학 BCD adder Encoder
논리게이트 [레포트] 컴퓨터공학 - 전자시스템 REPORT PSPI..
일반사무직7 GATE 논리회로
 
공조설비설계 1층 덕트 계산
공조설비설계 물량산출-덕트
수준측량 보고서
기본측량학실습 - 수준측량
물리학 실험 - 당구의 역학[충..
공학윤리 - 공학에서의 책임