전체 (검색결과 약 700개)

 [애플기업분석]애플의 경영전략(혁신적인 제품개발) 보고서 ( 7Pages )
목 차 Ⅰ. 애플의 아이워치 ………3 Ⅱ. 지속적인 연구개발 ………4 Ⅲ. 혁신적인 제품 ………5 Ⅳ. 다양한 기능 ………6 Ⅴ. 높은 수익성 ………6 Ⅰ. 애플의 아이워치 아이워치는 오래 전부터 거론돼온 ‘스마트워치’의 일종이다. 애플은 이미 디자인 특허를 출원했고, 이 기기에 아이폰 아이패드에 들어간 iOS 운영체제를 그대로 탑재해 손목시계처럼 생긴 이 기기로 각종 앱(애플리케이션·응용프로그
리포트 > 경영/경제 |
애플, 기업분석, 경영전략, 신제품개발
 [애플기업분석]애플의 경영전략(혁신적인 제품개발) PPT자료 ( 19Pages )
목 차 Ⅰ. 애플의 아이워치 Ⅱ. 지속적인 연구개발 Ⅲ. 혁신적인 제품 Ⅳ. 다양한 기능 Ⅴ. 높은 수익성 Ⅰ. 애플의 아이워치 아이워치는 오래 전부터 거론돼온 ‘스마트워치’의 일종이다. 애플은 이미 디자인 특허를 출원했고, 이 기기에 아이폰 아이패드에 들어간 iOS 운영체제를 그대로 탑재해 손목시계처럼 생긴 이 기기로 각종 앱(애플리케이션·응용프로그램)을 작동시키는 방안을 구..
리포트 > 경영/경제 |
애플, 기업분석, 경영전략, 신제품개발
 APPLE 애플 마케팅전략 분석과 애플 바람직한 미래방향제시 ( 8Pages )
APPLE 애플 마케팅전략 분석과 애플 바람직한 미래방향제시 보고서 입니다. 직접 책봐가며 만든 레포트이고 A학점 받았습니다. 1. APPLE 애플 기업소개 2. 애플의 고객층 분석 3. 애플 SWOT분석 (1) Strength (2) Weakness (3) Opportunity (4) Threat 4. 애플 마케팅믹스 4P전략 분석 (1) Product (제품전략) (2) Price (가격전략) (3) Place (유통전략) (4) Promotion (촉진전략) 5. 애플의 광고전..
리포트 > 경영/경제 |
아이폰, APPLE, 애플 성공, 애플워치, 아이패드, 스티브잡스, 애플 마케팅, 애플 stp, 애플 4p, 애플 swot
 애플 APPLE 마케팅 4P,SWOT,STP분석 및 애플 성공에 대한 나의의견 - 애플 마케팅 사례연구 ( 11Pages )
애플 APPLE 마케팅 4P,SWOT,STP분석 및 애플 성공에 대한 나의의견에 대해 작성한 보고서 입니다. 애플 마케팅전략에 대한 핵심내용분석과 요약을 토대로 저의 개인적인 생각을 더해 작성하였습니다. 연세대학교 마케팅원론 전공수업 과제로 제출하여 높은 평가를 받았습니다 1. APPLE 애플 기업개요 2. 애플의 마케팅 목표 3. 애플 SWOT분석 (1) Strength (2) Weakness (3) Opportunity (4) Threat 4. ..
리포트 > 경영/경제 |
APPLE, 애플 성공, 아이폰, 애플워치, 아이패드, 스티브잡스, 애플 마케팅, 애플 STP, 애플 4P, 애플 SWOT
 APPLE 애플 SWOT분석 ( 4Pages )
애플 APPLE SWOT분석 1. Strength (강점) - 애플의 매력적인 디자인 말 그대로 예쁘고 멋지다는 것이다. 단순하면서도 세련된 외관은 질리지 않는다. 일반적인 첨단 전자제품 디자인은 출시될 땐 환영받아도 조금 지나면 조잡해보일 수 있다는 단점이 있다. 하지만 애플 디자인은 단순함을 통해 이런 한계를 넘어섰고 첨단이면서도 질리지 않는 유행이 됐다. 애플의 단순함은 고급스럽다, 독특하다와 같..
리포트 > 경영/경제 |
 [아이폰 마케팅전략 사례연구 PPT] 애플 아이폰 마케팅전략 사례분석과 아이폰 전략의 문제점과 해결방안제안 ( 27Pages )
1. 애플 기업분석 2. 아이폰 마케팅전략 (1) STP분석 -Segmentation -Targeting -Positioning (2) 마케팅 4P전략 -Product -Price -Place -Promotion (3) 서비스마케팅 전략 (4) CRM전략 3. 아이폰의 문제점 4. 문제 해결방안 INDEX 1. 애플 기업분석 APPLE 연혁 1976.4. 1.스티브 워즈니악과스티브 잡스,론 웨인이 ‘애플 I’ 을 만들며 시작. 1984.1. 24.’매킨토시’가 처음 공개. 1984년 광고가 방영. 19..
리포트 > 경영/경제 |
 나이키 NIKE 경영전략,마케팅전략 사례 / 나이키 기업분석과 SWOT분석 / 나이키 미래전략방향 제언 ( 10Pages )
나이키 NIKE 경영전략,마케팅전략 사례 / 나이키 기업분석과 SWOT분석 / 나이키 미래전략방향 제언 REPORT 입니다. 저렴한 레포트는 아니지만 그 누구와도 비교불가한 최고 품질의 레포트임을 자부합니다. 자세한 내용은 목차를 참고하시고 많은 도움 되시길 바랍니다 !! 1. 나이키 NIKE 기업소개 2. 나이키 기업환경분석 3. 나이키 SWOT분석 (1) Strength (2) Weakness (3) Opportunity (4) Threat 4..
리포트 > 경영/경제 |
스포츠마케팅, 나이키 광고, 나이키, nike, 나이키 마케팅, 아디다스, 나이키 SWOT, 나이키 4p, 에어조던, 나이키 아웃소싱
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 ( 14Pages )
디지털 논리설계 Stop Watch 목 차 개 요 설계내용 결과 시연 개 요 강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니다. 상세회로 구성 블록도 설 계 내 용 입 력 - CLK : 클럭입력 (100Hz) - CLEAR : 클리어입력, 0이 되면 모든 출력이 0으로 리셋 - START_STOP : 시간증가 / 정지모드 입력 0일때 정지..
리포트 > 공학/기술 |
 전도서 ( 13Pages )
1. 자료출처 워치타워 성서책자 협회 2. 문서형식 MS-Word 3. 용량 13쪽 (59KB) 4. 제작의도 성서 전도서의 내용을 편집없이 그대로 수록하여 구입한 사람이 필요한 부분만을 발췌하여 쓸수 있도록 하였습니다. (현대어로 쉽게 풀이된 신세계역을 사용함)
문화예술 > 종교/초자연 |
 공학실험 - 자이로스코프[Gyroscope]의 동특성 실험 ( 22Pages )
Gyroscope의 동특성 실험 1. 실험 목적 Bifilar Pendulum을 이용해서 실험적으로 극관성 모멘트(Ip)를 구한다. 자이로스코프(Gyroscope) 실험을 통해 세차운동(Precession)의 원리를 알아보고 주축의 각속도와 세차운동의 각속도를 이용하여 Gyroscopic Moment를 실험적으로 구한다. 2. 실험 장비 Bifilar Pendulum 스톱워치 쇠자 Gyroscope 3. 실험내용 (1) 실험 변수 및 상수 ....
리포트 > 공학/기술 |
 [VHDL] Digital stop watch[디지털 스톱 워치] 설계 소스 - 키트와 쿼터스를 이용 ( 11Pages )
Digital Stop Watch 1. 수행 목적 Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 이번 프로젝트 설계를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용..
리포트 > 공학/기술 |
 [언론정보학] 텔레비전이 사회 전반에 미치는 영향 ( 8Pages )
텔레비전이 사회 전반에 미치는 영향 Ⅰ. 텔레비전과 어린이 텔레비전이 만들어내는 가장(假裝)된 세계는 수많은 사람들에게 현실에 대한 모델 역할을 한다. 특히 세상에 대한 배움을 시작하는 어린이들은 읽을 수 있는 능력이나 습관이 아직 형성되어 있지 않기 때문에 텔레비전에 크게 의존하면서 자신의 직접적인 환경 밖에 있는 세계에 대한 정보를 얻는다. 어린이들은 텔레비전에 등장하는 영웅들의 ..
리포트 > 사회과학 |
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10