전체 (검색결과 약 151개 중 8페이지)

 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 긍정과기쁨의철학-스피노자와 니체 ( 8Pages )
스피노자와 니체 1. 표현으로서의 속성들 들뢰즈의 {스피노자: 표현의 문제}는 스피노자의 {에티카}가 자기 원인(causa sui), 실체(substance), 속성(attribut)과 양태(mode), 그리고 무엇보다 신에 대한 정의에서 출발하여 어떻게 인간의 예속과 자유라는 실천적윤리적 문제에 도달하게 되는지를 표현이라는 개념의 놀이를 통해 우리에게 보여준다. 들뢰즈가 스피노자의 {에티카}를 가로지르면서 그 원리..
리포트 > 인문/어학 |
인문, 어학
 짜임새있는 주상복합 사업계획서 ( 48Pages )
짜임새있는 주상복합사업계획서 상업시설계획, MD 특화된 계획 사업개요 사업지개요 사업지현황 인허가체크 인허가-개발절차도 토지및계약현황 개발계획 평형구성전략 상품개발계획 타겟및상품포지셔닝 적정분양가산정 상업시설개발계획 UMEC의 도입타당성 “ UMEC ” POSITIONING 층별 MD 계획 적정 분양가 산정 CASE STUDY . 이용객을 유인할 수 있는 집객력이 강한 다양한 업종으로 집적된 목적형 상업..
비지니스 > 부동산/금융 |
상업시설, 상가, MD, 임대계획
 긍정과 기쁨의 철학 ( 8Pages )
긍정과 기쁨의 철학; 스피노자와 니체   1. 표현으로서의 속성들 들뢰즈의 {스피노자: 표현의 문제}는 스피노자의 {에티카}가 자기 원인(causa sui), 실체(substance), 속성(attribut)과 양태(mode), 그리고 무엇보다 신에 대한 정의에서 출발하여 어떻게 인간의 예속과 자유라는 실천적·윤리적 문제에 도달하게 되는지를 표현 이라는 개념의 놀이를 통해 우리에게 보여준다. 들뢰즈가 스피노자의 {에티..
리포트 > 인문/어학 |
 화학생물공정 실험 - Combined Convection and Radiation ( 16Pages )
서론  가열된 표면은 주로 Convection및 Radiation 에 의해 주변 환경으로 열을 방출하게 된다. 하지만 실제로 Convection 과 Radiation을 따로 고려하는 것은 매우 힘들기 때문에 표면 온도와 표면 유체 속도에 따른 Convection 및 Radiation을 함께 살펴보는 것이 더 유용하다. Convection 및 Radiation의 합쳐진 효과는 가열된 실린더 표면의 온도와 전기적 Power Input을 측정함으로 계산할 수 있으며 ..
리포트 > 공학/기술 |
 RingOut ( 4Pages )
1.원문 Ring Out, Wild Bells from In Memoriam A. H. H. (106) Ring out, wild bells, to the wild sky, The flying cloud, the frosty light; The year is dying in the night; Ring out, wild bells, and let him die. Ring out the old, ring in the new, Ring, happy bells, across the snow: The year is going, let him go; Ring out the false, ring in the true. Ring out the grief that..
리포트 > 기타 |
리포트, 레포트
 카다로그및가격요청서신 ( 1Pages )
카다로그 및 가격요청 서신 Pan Asia Electronics Mart IMPORTERS & WHOLE SALER Dear Sirs, We owe your esteemed name and address from the Directory of Korean Electrical and Electronic Manufacturers, and are glad to note that you specialize in Black / White and Colour Television, Car Stereo and Car Speakers. We are one of the old established firm mainly dealing in almos..
서식 > 회사서식 |
 [물리실험보고서] 단진자 운동 ( 5Pages )
실험 결과 보고서 ․ 실험 목적 단진자의 주기와 길이, 주기와 질량, 주기와 각도와의 관계를 알아보고 중력가속도의 값을 측정한다. ․ 이론 그림과 같이 질량을 무시할 수 있는 길이 인 끈에 질량 인 추가 매달려 주기운동을 하는 것을 단진자라고 하고 이때의 운동을 단진동 운동이라고 한다. 그림을 보면 알 수 있듯이 추가 되돌아가려는 힘 는 이다. 이때 는 단진자가 Z축과 이루는 각도이다. ..
리포트 > 자연과학 |
 감시레이더 ( 30Pages )
Surveillance Radar(감시 레이더) 1. 레이더 2. 감시 시스템 3. 1차 감시 레이더 4. 2차 감시 레이더 5. ADS (Automatic Dependent Surveillance) 목 차 1. 레이더 (Radio Detection And Ranging) ▶ 정 의 전파를 목표물에 보내어 그 전파 energy의 반사파를 수신하고 전파의 직진성과 정속성 을 이용하여 그 왕복시간과 안테나의 지향특성에 의해 목표물의 위치(방위 및 거리)를 측정하는 장비 ..
리포트 > 공학/기술 |
 실험보고서 - 계측장비 및 교류전원의 접지상태의 측정방법설계 ( 11Pages )
1. 실험요약 회로실험에서 가장 많이 사용되는 오실로스코프와 함수발생기의 사용법을 여러 가지 실험을 통해서 알아보았다. 함수발생기는 주파수가 다른 신호를 출력할 수 있으며 AC와 DC를 각각, 또는 동시에 출력할 수 있다. 오실로스코프는 함수발생기에서 발생되는 이런 출력들을 측정할 수 있다. 또 오실로스코프의 TRIGGER MODE, SLOPE, INVERT, ADD 등의 사용법과 X-Y모드 의미를 실험을 통해 알아..
리포트 > 자연과학 |
 엘지텔레콤,중국시장진출,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot ( 29Pages )
LG 휴대폰의 중국 마케팅 전략 Present by 0. LG 휴대폰의 중국진출 요인 1. 자유시장경제 체제를 채택하는 나라 ↑ 2. 기술의 발전 3. 선진국(Developed) 개도국(Developing) 경제의 축 이동 4. 무역, 투자, 기술이전의 장벽 파괴 단계적 진입 (합작회사) [Entry mode] 0. LG 휴대폰의 중국진출 요인 1. 중국 휴대폰시장 현황 [총판매량 성장률] 판매량 성장률 1. 중국 휴대폰시장 현황 1. 중국 휴대폰시장..
리포트 > 경영/경제 |
 MCU,RTC 사양서 와 실제로 사용할 수 있는 C CODE ( 210Pages )
첨부의 자료는 POWER SAVING MODE를 지원할 필요가 있는 가전 제품등에서 MCU를 사용해서 RTC를 구현해 줌으로써 원래 사용한 Main chipset의 power를 줄여서 green 제품을 구현할 수 있는 방안을 소개한 것입니다. MCU 사양서 와 실제로 사용할 수 있는 C CODE를 소개 합니다. MC81F4x16 ABOV SEMICONDUCTOR 8-BIT SINGLE-CHIP MICROCONTROLLERS MC81F4x16 MC81F4216 D/B MC81F4316 S/M/G/D/K MC81F4..
정보/기술 > 전기전자 |
RTC, POWER SAVING, MCU, 에너지 절약, AUDIO
 해충방제학 - neem 아자디라키틴 스파이노신스에 대하여 ( 4Pages )
[해충방제학] What about Azadirachtin and Spinosyns Contents 1. Neem tree and Azadirachtin. - Neem tree - Neem 유래물질, Azadirachtin(AZ) - Key point 2. Spinosyns - Spinosad - Mode of action 1. Neem tree and Azadirachtin. - Neem tree 님 나무(Neem, Azadirachta indica, Meliaceae)는 열대아시아가 원산지 이지만 아프리카와 중남미, 호주의 온난한 지역에 넓게 심어져왔다. 특히..
리포트 > 의/약학 |
 [물리실험보고서] F = ma 와 마찰력 ( 5Pages )
실험 결과 보고서 ․ 실험 목적 의 관계를 실험을 통하여 알아본다. ․ 이론 물체의 가속도는 물체가 받은 힘의 크기에 비례하고, 질량에 반비례한다. 이것을 Newton의 제2법칙이라고 한다. 이다. 이때, 는 외부에서 물체에게 가해지는 힘의 합력이고, m은 물체의 질량, a는 물체의 가속도이다. 각각의 물체가 받는 힘을 이용하여 수식을 세우고 연립해서 수식을 연립하여 가속도를 구할 수 있다. ..
리포트 > 자연과학 |
 생태체계론 ( 11Pages )
생태체계론(生態體系論) 사회사업실천의 주요 목적은 사람들의 원활한 적응능력을 개발해내는 것이고, 이를 위하여 클라이언트 자신의 조건과 자신들이 속한 사회환경과 물리적인 환경을 강화시키는 것이다. 이때 인간과 환경을 각각의 체계로 이해하게 되며, 사회체계간의 상호교류(transaction)는 개인의 사회적 수용과 적응을 더욱 가능케하는 것으로 이해할 수 있다(Germain & Gitterman, 1980). 생태..
리포트 > 자연과학 |
자연과학
1 2 3 4 5 6 7 8 9 10