전체 (검색결과 약 1,608개 중 10페이지)

 식민주의 시기 한국 가족법의 부계 계승제도의 특징, 역사 ,유래, 관습, 일본의 가계 계승 논리, 변화, 특징, 현황, 관리, 역할, 시사점, 나의견해 조사분석 ( 5Pages )
Report ( 식민주의 시기 한국 가족법의 부계 계승제도의 특징, 역사 ,유래, 관습, 일본의 가계 계승 논리, 변화, 특징, 현황, 관리, 역할, 시사점, 나의견해 조사분석 ) ◆ 식민지 가족법의 의미와 전통문제 ․ 식민지 시기 가족법은 한국 가족법의 “전통” 문제와 관련되어 있다. ☞ 한국 가족법 개정운동의 역사에서 개정공방 때마다 내세워진 개정 반대 명분은 바로 “전통” 이었는데도 불구하고 “전통” ..
리포트 > 사회과학 |
 사회복지정책의 특징(특성) ( 3Pages )
사회복지정책의 특징(특성) 첫째, 인간의 존엄성을 존중한다. 사회복지정책은 무엇보다도 인간의 존엄성을 기본 가치로 하는 인간지향적 정책이다. 사회복지정책은 누구에게나 인간으로서 존엄성을 지켜나가면서 인간으로서 대우를 받을 수 있는 생활을 보장하는 데 목적이 있다. 따라서 사회복지정책은 어떠한 문제로 인하여 인간의 존엄성을 훼손당하거나 위협받을 가능성이 있는 사람들을 대상으로 한다..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 데이타베이스변경신청서 ( 1Pages )
1. 신청과계 2. 신청자 3. 신청일자 4. 일련번호 5. 기종구분 6. 환경구분 7. 변경희망일 8. 변경 Type 9. 변경내용 10. 논리데이타베이스 변경확인 11. 물리데이타베이스 변경확인
서식 > 회사서식 |
데이타베이스, 물리, 논리, 변경, 신청서
 [논리회로실험] 멀티플렉서 디멀티플렉서 ( 5Pages )
Unit 4. 멀티플렉서 디멀티플렉서 실험의 의의 Bread Board를 이용한 회로를 작성하는 것 과 기계를 만지는데 좀 더 익숙해질 수 있었다. Logic gate 의 멀티플렉서와 디멀티플렉서를 구성할 수 있다. 실험의 결과들로 인해 멀티플렉서와 디멀티플렉서의 원리를 이해하고 동작을 확인할 수 있었다. 실험 수행 과정 및 결과 Multiplexer- 멀티플렉서 ・ 반가산기, XOR gate 1개와 AND gate 1개를 점..
리포트 > 공학/기술 |
 동성애가과연죄악인가 ( 2Pages )
동성애가 과연 죄악인가 한 사람을 너무나 사랑하는 것, 그것이 동성이라해서 그것이 죄가 될 수는 없다고 생각합니다. 단지 동성애라는 흔하지 않은 형태가 거부감을 주는 것이고, 그 거부감이 이런 저런 논리로 반론을 제기하는 것이라 생각합니다. 하지만 동성애를 반대하는 논리들은 충분히 반론이 가능합니다. 동성애를 반대하는 일부 기독교의 논리에 대해서도 다른 기독교인들에 의해서 반론이 제기..
리포트 > 사회과학 |
사회과학
 사회복지조사론 요약정리 ( 46Pages )
제1장 사회복지와 조사연구 제1절 사회복지에서 조사연구의 중요성 1) 사회복지사 자신이 하고 있는 일의 효과성을 높이기 위해서이다. 2) 자기 분야의 최신 연구 및 사회복지에 영향을 미칠 수 있는 논의들에 대해 보다 쉽게 잘 접근할 수 있게 해줄 뿐 아니라 비판적으로 평가할 수 있게 해준다. 3) 사회복지전문직으로써 클라이언트에 대해 책임을 지기 위해서이다. 제2절 탐구와 과학적 방법 1. 탐구..
리포트 > 생활/환경 |
 지역-기업-대학 네트워크를 통한 청년실업 해결방안 ( 5Pages )
지역-기업-대학 네트워크를 통한 청년실업 해결방안 - 지역거버넌스와 대학체제개편을 통해서 보는 청년실업 들어가며 청년실업의 원인과 현황에 대해서는 진보진영내에서 상당히 정리된 분석과 그에 따른 입장들이 이미 존재한다. 실제 문제는 청년실업문제가 사회적으로 중요한 문제로 등극했음에도 불구하고 논쟁의 대립점이 정확하게 서지 않는데 있다. 청년실업을 해결하기 위한 과정에서 고려되어..
리포트 > 경영/경제 |
 사회복지 프로그램 평가모형(모델) ( 4Pages )
사회복지 프로그램 평가모형(모델) 목차 사회복지 프로그램 평가모형(모델) I. 목표달성 평가모델 II. CIPP 평가모델 III. 판단지향 평가모델 IV. 제4세대 평가모델 V. 논리모델 * 참고문헌 ...
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 가치의 개념 ( 2Pages )
가치의 개념 일반적으로 가치(value)란 어떤 사물 ․ 현상․ 행위 등이 인간에게 의미 있고 바람직한 것임을 나타내는 개념이다. 여기에서 '인간에게 의미 있고 바람직한 것'이 인간의 역사적 경험을 통해 축적된 결과를 토대로 해서 형성되는 것인지 아니면 인간의 경험이나 의지에 관계없이 이미 선험적으로 주어진 것인지에 따라 논의는 달라진다. 인간의 역사적 경험과 의지 그리고 필요에 따라 가치가 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 정책평가의 절차와 방법 및 한계 ( 11Pages )
정책평가의 절차와 방법 및 한계 목차 * 정책평가의 방법과 한계 Ⅰ. 정책평가의 절차 1. 정책목표의 파악 2. 평가기준의 설정 3. 인과모형의 설정 4. 평가연구설계의 개발 5. 자료의 수집 및 분석 Ⅱ. 정책평가의 방법 1. 비실험적 방법 2. 실험적 방법 1) 준실험 가) 준실험의 기본논리 나) 준실험의 약점 2) 진실험 가) 진실험의 기본논리 나) 진실험의 약점 (가) 내적 타당성 (나) 외적 타당성 (..
리포트 > 사회과학 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 벡의 인지적 오류 ( 4Pages )
벡의 인지적 오류 목차 인지적 오류 1. 자의적 추론(임의적 추론) 2. 선택적 추상(정신적 여과) 3. 과잉 일반화 4. 극대화 혹은 극소화 5. 개인화 6. 이분법적 사고(흑백논리) 7. 정서적 추론 8. 긍정 격하 9. 파국화 10. 명명화 * 참고문헌 ...
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 ( 9Pages )
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각..
리포트 > 경영/경제 |
 컬러마케팅이란 ( 12Pages )
컬러마케팅 컬러마케팅의 개념 색상으로 소비자의 구매 욕구를 자극하여 판매를 극대화 시키는 전략. 사람은 색채에 대해 감성적인 반응을 보이므로. 이것이 곧 구매충동과 직결된다는 논리. 컬러마케팅의 시초는 1920년 미국 파커(parker)의 빨간색 만년필. ....
리포트 > 경영/경제 |
 포스트모더니즘의 이점과 해악 ( 3Pages )
포스트모더니즘의 이점과 해악 1. 들어가며 포스트모던 사회는 지금까지 유지되던 패러다임이 바뀐 사회이다. 이런 사회가 전적으로 좋다거나 전적으로 나쁘다고 말할 수 없다. 여기서는 포스트모더니즘적 대중문화가 우리에게 주는 이점, 해악을 살펴보기로 하자. 2. 포스트모더니즘이 우리에게 주는 이점 (1) 인간 해방 포스트모더니즘은 인간을 긴장시키고 억압하던 주체성, 총체성, 역사성, 정통성..
리포트 > 예체능 |
1 2 3 4 5 6 7 8 9 10