전체 (검색결과 약 4,831개 중 33페이지)

 보안시스템_설계 - WireShark을 이용한 IP Header 분석 및 각 필드 역할 조사[IP헤더] ( 22Pages )
WireShark을 이용한 IP Header 분석 및 각 필드 역할 조사 보안시스템 설계 1 IP 패킷헤더의 구조 IP 패킷헤더의 각 필드별 기능 WireShark를 이용한 실제 IP 패킷헤더 분석 보안시스템 설계 2 목차 보안시스템 설계 3 IP 패킷헤더의 구조 IPv4 보안시스템 설계 4 IP 패킷헤더의 구조 IPv6 보안시스템 설계 5 IP 패킷헤더의 구조 IPv6 보안시스템 설계 6 IP헤더의 각 필드별 기능 - Version VERSION..
리포트 > 공학/기술 |
 조직설계 시 고려사항(집중적 권한과 분산적 권한, 통제범위, 수직적 조직구조와 수평적 조직구조, 부문화) ( 5Pages )
조직설계 시 고려사항(집중적 권한과 분산적 권한, 통제범위, 수직적 조직구조와 수평적 조직구조, 부문화)에 대한 레포트 자료. 조직설계 시 고려사항(집중적 권한과 분산적 권한, 통제범위, 수직적 조직구조와 수평적 조직구조, 부문화) 목차 조직설계 시 고려사항 I. 집중적 권한 vs 분산적 권한 II. 통제범위 III. 수직적 조직구조와 수평적 조직구조 IV. 부문화 * 참고문헌 ...(이하 내..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 catia v5를 활용한 오디오 설계 ( 19Pages )
자동차에 들어가는 오디오 설계에 대한 기본적인 개요 catia v5를 이용한 오디오 설계
리포트 > 공학/기술 |
catia v5, 오디오
 [레포트] 디지털 시스템 설계 레포트 ( 10Pages )
디지털 시스템 설계 레포트 FSM 모듈의 설계 및 검증 사양 모듈 fsm은 회로의 동작을 제어하는 유한상태머신이다. 상태 E가 리셋 상태로서 단자 done='1'을 출력하면서 대기하고 있다가 단자 start='1'이 되면 상태 l로 이동하면서 곱셈을 시작하게 된다. 상태 l는 단자 linit='1'을 출력하여 준비된 승수와 피승수를 입력하도록 한다. 다음 상태 c로 무조건 옮겨간다. 상태 C에서 단자 lsb='0'이고 stop..
리포트 > 공학/기술 |
 방사선기기설계변경승인신청서 ( 1Pages )
〔별지 제67호서식〕 (앞쪽) 방사선기기설계변경승인신청서 처리기간 7일 신 청 인 ①상호 ②사업자등록번호 ③소재지 (전화 :) ④대표자 ⑤주민등록번호 ⑥사업소명 ⑦사업장소 (전화 :) ⑧담당부서 ⑨담당자 ⑩사업자분류 ⑪설계승인번호 ⑫승인일자 ⑬설계변경사유 원자력법 제72조 및 동법시행규칙 제74조제4항의 규정에 의하여 방사선기기의 설계변경승인을 신청합니다. 년월일 신청인 (서명 또는 인)
서식 > 행정민원서식 |
 [디지털 회로설계] 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 ( 9Pages )
디지털 회로설계 1. 제목 : 1-Bit Full Adder를 통한 4-Bit Full Adder 설계 2. 개요 : 1) 목적 : 1-bit full adder를 통한 4-bit full adder를 설계하여 adder에 대한 이해도를 높인다. N-bit adder로 확장하는 방식을 익혀 계층구조를 이해하고 VHDL의 PORT MAP 사용법을 익힌다. 2) 방법 : [1-bit adder] (1) 1 bit adder의 truth table을 구하고 카르노맵을 이용하여 minimization한다. (2) 최소..
리포트 > 공학/기술 |
 자원봉사 프로그램 기획, 업무설계, 평가, 업무분장(자원봉사관리자의 자원봉사활동 프로그램 기획) ( 6Pages )
자원봉사 프로그램 기획, 업무설계, 평가, 업무분장(자원봉사관리자의 자원봉사활동 프로그램 기획)에 대한 레포트 자료. 자원봉사 프로그램 기획, 업무설계, 평가, 업무분장(자원봉사관리자의 자원봉사활동 프로그램 기획) 목차 자원봉사 프로그램 기획 1. 프로그램 기획 2. 업무설계 3. 프로그램 평가 4. 업무분장 * 참고문헌 ...(이하 내용 생략)
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 내가 설계한 포트폴리오 ( 36Pages )
학교에서 직접 설계한..포트폴리오 자료 내손으로 직접 한것이며 학교 졸업작품전때 전시하기도 하였다 포토�으로 작업하였고 오토캐들르 통해 도면을 그렸다 그리고 모형사진을 첨부하여 완벽한 포트폴리오를 만들었다 포트폴리오의 한예라고 생각하면 되겠다 많은 도움이 되길 바란다
리포트 > 공학/기술 |
 성공적인 웹 UI 설계 ( 19Pages )
사용자 인터페이스를 고려한 웹 UI 설계에 관한 자료. 좀 지난 자료이긴 하지만, 웹 기획 혹은 웹 디자인쪽에 종사하시는 분이라면 꼭 참고해야할 자료라 생각됩니다. 웹 사이트를 만들면서 간혹 놓치고 지나치는 경우가 많은 사례들에 대해 자세히 다루고 있으며, 사용성이 높은 사이트를 만들고자 하신하면 권유해 드리고 싶은 자료입니다.
정보/기술 > 웹/DB |
 직무설계 11 ( 6Pages )
직무설계 Ⅰ. 서설 직무는 일의 단위로 사람을 관리하기 위한 인사관리적 개념이다. 직무를 분석, 분류, 평가, 설계하는 직무계획은 일과 그 일을 담당하는 사람과의 적합성을 최대화하는 인사관리의 이상을 실현하기 위한 기초가 되는 작업이다. 직무설계의 방향은 직무전문화, 단순화, 표준화를 토대로 하는 테일러리즘이 그 기초가 되어 왔으나 산업화가 고도로 진전됨에 따라 직무불만족, 노동의 소외,..
리포트 > 경영/경제 |
 2 파일설계1 ( 15Pages )
파일설계 1 파일의 종류 1-1 매체에 의한 분류 1-2 내용에 의한 분류 1-3 파일 편성에 의한 분류 2 파일 설계시의 검토사항 2-1파일 매체의 설계 2-2 파일 편성법의 설계 서론 정보처리 시스템 중에서 파일의 역할은 중요하다. 정보처리의 주체는 정보이며, 이러한 정보는 대부분의 경우 파일의 형태로 존재하고 있다. 따라서 파일의 존재 가치는 매우 크다. 파일은 정보의 창고이며, 공급원이라..
리포트 > 공학/기술 |
공학, 기술
 제어공학 설계 보고서 - 모터 위치 제어 시스템 모델링 및 제어기 설계 ( 19Pages )
제어공학 설계 보고서 - 모터 위치 제어 시스템 모델링 및 제어기 설계 목차 1. 모델 2. 모터 제원 및 라플라스 변환 3. 블록선도 4. 관성 모멘트 5. PD 제어기 설계 6. 안정도 판정 7. 를 변경한 결과 8. 결과 및 느낀점 9. 참고 문헌 모델 모터 제원 및 라플라스 변환 ① 발생 토크는 전류에 비례 ② 역기전력 ③ 전압법칙(전기회로) ④ 뉴턴의 법칙 () 치차열 등가 ④번의 식에서 P를 소거하고 ..
리포트 > 공학/기술 |
 제도와설계의차이 ( 2Pages )
설계(design)란 제품이나 구조물 등을 만들기 위하여 그 사용 목적에 알맞은 크기, 모양, 재료, 구조 등을 고안하고 이를 바탕으로 구체적인 계획을 작성하는 것을 말한다. 건축물 ․교량 등의 구조물 또는 각종 기계 ․장치 등을 요구 조건을 만족시키고, 또한 합리적이며 경제적으로 만들기 위해서 그 계획을 종합해서 설계도를 작성하여 구체적으로 내용을 명시하는 일로 설계의 순서 및 이론은 설계하는..
리포트 > 교육학 |
교육학
 [디지털설계 ] Unigraphics 기반사 출금형 설계 전공 CAD 시스템의 개발 ( 4Pages )
Unigraphics 기반 사출금형설계전공 CAD 시스템의 개발 1.서론 ....
리포트 > 공학/기술 |
 [정책평가방법] 진실험 설계방법 ( 3Pages )
[정책평가방법] 진실험 설계방법에 대한 레포트 [정책평가방법] 진실험 설계방법 정책과 프로그램을 평가하기 위한 '전통적'인 연구 설계는 비교 가능한 두 집단-실험집단과 통제집단-을 이용하여 실험을 하는 것이다. 이때 두 집단은 실험집단에게만 정책 ․ 프로그램이 집행된다는 점을 제외한 모든 면에서 동질적이어야 한다. 여기서 '동질적'의 의미는 두 집단의 전체 구성원들이 평균적인 의미에서 같..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제, 문제점
31 32 33 34 35 36 37 38 39 40