전체 (검색결과 약 13,752개 중 10페이지)

 [신경부 생리] 감각 수용기에 관해 ( 4Pages )
[신경부 생리] 감각 수용기에 관해 감각 수용기 감각 수용기 - exteroceptor(외부자극 수용기) : touch, pressure, pain sensation 등 - interoceptor(내부자극 수용기) : touch, pain 으로 구분 - proprioception(고유감각수용기) Prorioception ▸ conscious prorioception(의식적 고유감각) - cerebral cortex(대뇌피질) - position sense(위치감각) - kinesthetic sensation(운동감각) ▸ unconsc..
리포트 > 의/약학 |
 연산증폭기의 작동원리 ( 14Pages )
연산증폭기의 동작원리와 반전증폭기,비반전증폭기 contents 목차 1. 연산증폭기(Operational -Amplifiers)의 구성과 특징 2. 연산증폭기의 동작원리 3. 반전증폭기와 비반전증폭기의회로와 입출력파형 1-1. 연산증폭기(OP-AMP)의 특징 차동 증폭기 전압증폭기 출력증폭기 단일 IC내부에서 모두 연결 고이득 고입력 임피 던스 저출력 임피 던스 1-2. 연산증폭기(OP-AMP)의 구성 연산증폭기는 차동..
리포트 > 자연과학 |
 ASP 온라인 접수(신청서) 소스 ( 22Pages )
ASP를 이용한 단순한 온라인 접수(신청서) 소스 다양한 항목의 온라인 신청서 사용자가 웹상에서 온라인 신청서를 작성하면 서버의 DB에 신청내용이 저장되고 관리자는 암호로 로그인하여 신청현황을 파악할 수 있음. 선청리스트를 웹상에서 볼 수도 있고 바로 엑셀로 저장하여 볼 수 도 있음 데이터를 Excel로 저장할 때 전화번호- 표시 없을때 숫자로 인식되는 문제 해결되어 있음 DB ..
정보/기술 > 프로그램소스 |
ASP, 신청서, MSSQL, DB, 온라인신청서, 폼메일, 리스트, list, Excel, 엑셀
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 [디지털 회로설계] Moore , Mealy Type Finite State Machine ( 9Pages )
디지털 회로설계 1. 제목 : Moore / Mealy Type Finite State Machine 2. 개요 : Moore Type과 Mealy type의 state diagram을 보고 각각의 state table과 D F/F을 이용한 회로도를 작성한 후 두 가지 모두에 대하여 각각 VHDL code를 작성하여 시뮬레이션을 수행하여 미리 예상한 결과와 비교하여 본다. 이를 통해 Moore type과 meanly type의 이해도를 높이고 그 동작을 비교하여 파악한다. D F/F의 응..
리포트 > 공학/기술 |
 공정성 이론 ( 2Pages )
공정성 이론 애덤스(Adams)가 발전시킨 공정성 이론(Equity Theory)의 요지는, 동기란 개인이 자기의 작업 상황에서 지각한 공정성의 정도에 의해서 영향을 받는다는 것이다. 즉, 개인이 타인에 비하여 상대적으로 얼마나 공정한 대우를 받고 있는가 하는 점이 중요한 동기요인이 된다는 것이다. 공정성 이론은 사회적 비교이론 가운데 하나라고 할 수 있다. 사회적 비교이론은 어떤 개인이 다른 사람들에 ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 옴의 법칙 실험결과 보고서 ( 4Pages )
Ohm s Law (옴의 법칙) 준비물 10저항기, 100저항기, 패치 코드, 인터페이스, 10인치 납선, 옴의 법칙실험장치 이론 · 는 전류, 는 전압(전위차), 은 저항이다. 전류는 전압과 정비례하고 저항과는 반비례한다. 전압이 증가하면 전류도 증가하며 저항이 증가하면 전류는 감소한다. · 직렬연결 전체 전류 : 전체 전류는 각 저항에 흐르는 전류와 같다. 전체 전압 : 전체 전압은 각 저항에 걸리는 전압의 ..
리포트 > 자연과학 |
 경영학의 개념과 연구대상 ( 3Pages )
경영학의 개념과 연구대상 1. 경영학의 개념 경영학은 인간의 조직 활동을 연구하는 사회 과학의 한 분야이다. 이를 경영학의 주요 대상인 '기업'에 초점을 맞추어 보면, 기업을 경영하는 근원적인 목적 또는 목표를 달성하는 데 필요한 경영활동을 보다 효율적(efficiency)으로 수행하기 위해 필요한 원리를 종합적이고 체계적으로 연구하는 학문이다. 여기서 '효율적'이라 하는 것은 어떤 일을 올바르게..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 관망해석 ( 3Pages )
1. 프로그램 Source FILENAME = NETWORK.FOR C 이 프로그램은 수리학책 110,111쪽에 있는 표 4-6. 관망해석 프로그램과 C 114쪽에 있는 데이터를 Source로 이용하여 작성하였습니다. 책에나온 Source C 로는 데이터 입력시 에러가 발생하므로 약간의 수정을 하였습니다. 또한 C 인쇄된 Source와 결과는 워드 프로세서를 이용하여 작성했기 때문에 아래의 C 프로그램과 인쇄할때의 프로그램은 약간의 차이..
리포트 > 사회과학 |
사회과학
 실험보고서 - 연산증폭기[Operational Amplifie] 동작원리를 이해하고 그 특성을 측정 ( 15Pages )
Title 1. 실험제목 : Operational Amplifier Basic 2. 실험목적 o 연산증폭기 (Operational Amplifier) 동작원리를 이해하고 그 특성을 측정한다. Results Simulation [실험 1] OP-AMPLIFIER 기본 특성 실험 A. 입력 offset 실험 [OP AMP 실험회로 1] 1) 위의 그림 1 의 실험회로를 구성하고 OP-AMP 의 Vcc 값을 10V, VEE 값을 -10V 인가하였다. 이 경우 출력전압 Vo 를 측정하고, 이 값으로부터 입..
리포트 > 자연과학 |
 기어식 레벨 센서(dgl).pdf ( 15Pages )
GEAR TYPE LEVER TRANSMITTER/Manual MODEL DGL SERIES 목목 차차 1. 개 요 2. 특 징 3. 동 작 원 리 4. 사 양 5. 설 치 방 법 6. 조 정 방 법 7. 결 선 방 법 8. A/S전점 검 사 항 9. Overa ll D im ens io ns 1. 개 요 Analog 값으로 변환시켜준다. Gear식 Level Gauge는 물이나 Diesel, Bunker-C유등 액체Tank에서 Float에 의한 길이 변화를 Gear를 이용하여 2. 특 ..
정보/기술 > 토목/건축 |
기어식 레벨 센서(dgl).pdf
 성과관리 예산제도 ( 2Pages )
성과관리 예산제도 1990년대 선진국 예산개혁의 흐름은 자율성과 융통성을 부여하되, 책임성을 확보하는 방향이며, 이때의 책임성 확보는 성과평가를 통해 실현된다. 이러한 성과평가를 예산과 연계시킨 제도가 성과주의 예산제도 또는 성과관리 예산제도이다. 성과주의 예산제도는 투입요소 중심이 아니라 산출(output) 또는 성과(performance)를 중심으로 예산을 운용하는 제도이다. 예산집행 결과 어떠..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 UN과 NGO의 관계, UN-NGO 관계의 제도화 ( 8Pages )
UN과 NGO의 관계, UN-NGO 관계의 제도화 목차 Ⅰ. UN과 NGO 관계 1. UN 경제사회이사회 2. ILO 3. UNHCR 4. WHO 5. EC, EU, World Bank, IMF, WTO Ⅱ. UN-NGO 관계의 제도화 ...이하 생략(미리보기 참조)
리포트 > 사회과학 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 UN의 역사적 발전 배경과 글로벌 NGO의 등장 ( 10Pages )
UN의 역사적 발전 배경과 글로벌 NGO의 등장 목차 *UN과 글로벌 NGO Ⅰ. 역사적 발전 배경 Ⅱ. 글로벌 NGO의 등장 1. 냉전의 종말과 NGO 활동 2. 글로벌 회담과 NGO 3. UN에서 NGO의 활동 4. UN-NGO 파트너십 *참고문헌 ...이하 생략(미리보기 참조)
리포트 > 생활/환경 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 hertz,Hertz Goes Wireless,L - Commerce,Pervasive Computing,퍼베이시브,M - Commerce,Hertz 무선서비스 ( 10Pages )
Hertz Goes Wireless 목 차 Hertz 소개 132 Hertz 무선서비스 L - Commerce 결 론 및 Q A 1) M - Commerce 2) Pervasive Computing 3) Data Base 4) Hertz 소개 Hertz 소개 L-Commerce M-Commerce Pervasive Computing Data Base 결론 및 Q A L - Commerce Hertz 소개 L-Commerce M-Commerce Pervasive Computing Data Base 결론 및 Q A ....
리포트 > 경영/경제 |
1 2 3 4 5 6 7 8 9 10