전체 (검색결과 약 21개)

 [독후감]뮤직 네버 스탑을 보고나서 ( 2Pages )
뮤직 네버 스탑을 보고나서 인간이 태어나기 전부터 가장 먼저 발달하는 감각이 청각이다. 뱃속에서부터 엄마, 아빠의 목소리를 듣고 태어난다. 아이를 가지면서부터 소리의 소중함을 깨닫게 된다. 그런데 아이뿐만 아니라 죽어가게 될 때도 끝까지 남아있는 감각이 청각이다. ....
리포트 > 독후감/서평 |
 2025 원테크스탑 통합 엔지니어(Integration Engineer) 자기소개서 지원서와 면접자료 ( 6Pages )
제가 해운/물류산업에서 가장 시급히 해결해야 할 문제로 꼽는 것은 '이기종 시스템 간 데이터 통합의 비효율성'입니다. 저는 이 문제를 해결하기 위해 통합 엔지니어로서 '데이터 중심의 개방형 물류플랫폼'을 구축하고 싶습니다. 결국 제가 원테크스탑에서 이루고 싶은 목표는 단순히 시스템을 연결하는 것이 아니라, 데이터 흐름을 하나의 '언어'로 통합해 글로벌 물류산업의 효율을 혁신하는 것입니다. ..
서식 > 자기소개서 |
데이터, 물류, api, 통합, 가장, 시스템, 프로젝트, 구조, 경험, 싶다, 설계, 기반, 과정, 개발, 이다, 기술, edi, 해결, 해운, 스탑
 주소 보정서 ( 2Pages )
보 정 서 사 건 : 2005가소 1193506 물품대금 원 고 : 주식회사 포스탑 피 고 : 김 명 성 위 제출인(원고) : 주식회사 포스탑 서울중앙 지방법원 민사14단독(소액) 귀중 보 정 서 사 건 : 200X가소 XXX 물품대금 원 고 : ....
서식 > 회사서식 |
회사서식
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 디지털 논리 설계 - Altera Max+plus II 스탑워치 설계 ( 14Pages )
디지털 논리설계 Stop Watch 목 차 개 요 설계내용 결과 시연 개 요 강의시간에 배운 이론을 바탕으로 실제 상품화 될 수 있는 하드웨어를 Altera Max+plus II 프로그램을 사용하여 설계한 팀 프로젝트 결과입니다. 상세회로 구성 블록도 설 계 내 용 입 력 - CLK : 클럭입력 (100Hz) - CLEAR : 클리어입력, 0이 되면 모든 출력이 0으로 리셋 - START_STOP : 시간증가 / 정지모드 입력 0일때 정지..
리포트 > 공학/기술 |
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 위어[weir] - 위어판에 의하여 수위차를 만들어서 유량을 측정 ( 5Pages )
1. 실험 목적 위어(weir)는 위어판에 의하여 수위차를 만들어서 유량을 측정한다. 이 수위와 유량간의 관계를 살펴보고, 위어실험을 통해 측정된 유량과 이론식에 의한 유량을 비교하여 유량계수를 알아보고자 한다. 2. 실험 기구 【수리실험대】 【삼각위어】 【눈금자】 【스탑워치】 3. 실험 이론 (1) 위어(weir) 수로를 횡단하여 설치된 벽 위로 물이 월류(overflow)하도록 만든 구조물을 위어(w..
리포트 > 공학/기술 |
 스포츠마케팅,마케팅전략,코오롱,노스페이스,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 41Pages )
KOLON SPORTS IMC STRATEGY Style Dynamic Life Marketing Communication Ch 1. Industry Background Simple Definition : 야외에서 입는 옷 WHAT IS ‘OUT DOOR’ Segmentation : -아웃도어 라이프 스타일 -일반 아웃도어 스타일 -마니아 아웃도어 스타일 -프로패셔널 아웃도어 스타일 Current Trend : * 아웃트로(Outro) = 아웃도어 + 메트로 LOOK = 전문성,기능성 + 패션 = 도시생활에 접목된 컨셉..
리포트 > 경영/경제 |
 물리학 - 길이측정 도구 조사 ( 4Pages )
●마이크로미터 각부명칭 마이크로미터 사용법 1. 마이크로미터의 엔빌과 스핀들에 측정부분에 이물질을 제거하여 치수오차가 발생하지 않도록 함. 2. 측정물을 마이크로미터의 엔빌에 축 직각으로 정확히 맞춤 3. 레칫스탑(Ratchet Stop)을 딸깍 소리가 날때까지 정확히 돌리되 스핀들이 공작물에 닿기 전에는 천천히 돌려 관성에 의해 스핀들이 돌아가지 않도록 함. 4. 눈금을 읽을 때는 슬리브의 어미자..
리포트 > 자연과학 |
 기초 공학 실험 - Gyroscope의 동특성 실험(결과 보고서) ( 5Pages )
기초 공학 실험 - Gyroscope의 동특성 실험 § 실험 및 결과 DATA [ Bifilar pendulum 결과 ] D(진자 직경) : 0.07583m h(실의 길이) : 0.457m m(진자 질량) : 0.243kg [ Gyroscope Moment의 측정결과 ] m=0.243kg D=0.07583m l=0.147m §Gyroscope Moment 의 실험 결과 계산 * ( M : 부가모멘트, : 부가질량(추의질량) ) * ( : 자이로모멘트, 는 Bifilar pendulum의 결과 사용) * * §세차..
리포트 > 공학/기술 |
 점성의 측정 ( 18Pages )
점성! 너 저리 가란 말이야!!! 1. 연구동기 및 목적 일상생활에서 끈적이는 물질때문에 느끼는 불편함 전단지를 오랫동안 붙였다가 떼어냈을 때, 끈적이는 자국이 남음. 밥풀이 눌러 붙은 상을 닦을 때, 닦아지지 않아서 불편함. 어떻게 하면 잘 떼어낼 수 있을지 연구를 시작하게 됨. 실험목표 일상생활에서 자주 접하게 되는 끈끈한 물질들과 그 외의 물질들을 찾아본다. 이 물질이 점성을 나타내는 ..
리포트 > 공학/기술 |
 EDI&API 모니터링.분석 시스템 개발 및 운영(Front-end.Back-end Developer) 자기소개서 지원서 ( 5Pages )
저는 원테크스탑의 EDI&API 모니터링 시스템 개발자로서 이 문제를 기술적으로 해결하고 싶습니다. 특히 로그 기반의 이상 패턴 분석, 자동 재전송 기능, 데이터 무결성 검증로 직을 통해 시스템 간 데이터 불일치를 최소화하고자 합니다. 주요 업무는 선박 위치 데이터를 수집·분석하는 API 서버의 안정화와 데이터 모니터링 시스템 고도화였습니다. 이는 원테크스탑의 EDI&API 모니터링 시스템 개발과 매..
서식 > 자기소개서 |
데이터, 시스템, 기반, 가장, api, 통해, 개발, 프로젝트, 문제, 물류, 싶다, 해결, 분석, 중요하다, 경험, 구조, , 이다, , 해운
 (창업계획서) 애완동물 원스톱 종합관리센터 창업 사업계획서 ( 12Pages )
애완동물 종합관리샵 창업 사업계획서 INDEX Ⅰ. 사업개요 Ⅱ. 사업계획 요약 1. 애완동물시장 시장전망 2. 시장세분화 3. 사업아이템 요약 4. 운영계획 5. 사업의 약점 평가 및 대처방안 6. 재무계획 Ⅲ. 사업비전 및 목표 1) 사업비전 2) 사업목표 3) 사업기대효과 Ⅳ. 애완동물 관련산업분석 1) 미래전망 2) 경쟁자 분석 3) 시장세분화 전략 Ⅴ. 사업설명 1) 제품 및 서비스 2) 장비 Ⅵ. 운영계획 1) ..
리포트 > 경영/경제 |
 이마트24 경영,마케팅 PPT- 이마트24 기업분석과 SWOT분석및 이마트24 경영전략과 마케팅전략 사례분석및 문제점및 해결방안제시 ( 32Pages )
마케팅전략 1. 이마트24 기업소개 2. 편의점(CVS)이란 3. 시장분석 (1) 편의점 소비트렌드 분석 (2) 편의점 시장현황분석 4. 이마트24 SWOT분석 (1) Strength (2) Weakness (3) Opportunity (4) Threat 5. 경쟁자분석 (1) GS25 (2) CU (3) 세븐일레븐 (4) 미니스탑 6. 이마트24 경영전략 (1) 운영전략 (2) 틈새시장공략 (3) 포지셔닝전략 (4) 채널전략 7. 이마트24 마케팅 4P전략 (1) Product (2) Pric..
리포트 > 경영/경제 |
1 2