올린글을 확인할 수 있도록 포스팅을 공개로 설정해 주세요.
System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현

최종 프로젝트
Digital Clock 설계
[목 차]
1. 시계 블록도(1page)

2. VHDL 소스 설명(2~42page)
① easy_clock.vhd
② clock.vhd
③ stopwatch.vhd
④ setclock.vhd
⑤ setalarm.vhd
⑥ alarm_dot.vhd
⑥ seven_seg.vhd

3. 동작방법(43page)

4. 동작결과

5. 고 찰

시계 + 스탑워치 + 시간설정 + 알람설정 구현!!

1. 시계 블록도

Clock

Stopwatch

Seven_SEG

SetClock

SetAlarm

CLK_IN

RESET

SWITCH

put

Alarm_DOT

DOT
matrix

bintoseg

LED

7 Segment

index

2. VHDL 소스 설명
① easy_clock.vhd

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity easy_clock is
port (
clk_in : in std_logic;
resetn : in std_logic;
switch : in std_logic_vector(8 downto 0); //숫자switch 입력 벡터로 선언(1~9)
led_out : out std_logic_vector(7 downto 0);
dot_col_reg : out std_logic_vector(9 downto 0); //dot matrix 의 열 벡터로 선언(세로10개)
....

[hwp/pdf]System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현
포스팅 주소 입력
  올린글을 확인할 수 있는 포스팅 주소를 입력해 주세요.
  네이버,다음,티스토리,스팀잇,페이스북,레딧,기타 등 각각 4개(20,000p) 까지 등록 가능하며 총 80,000p(8,000원)까지 적립이 가능합니다.