전체 (검색결과 약 227개 중 8페이지)

 [디지털시스템설계] VDHL을 이용한 Dot-matrix활용 ( 14Pages )
≪VDHL을 이용한 Dot-matrix≫ ●목적● 수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때 도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다. 신호등, 시계 만들기 등 여러 가지가 있지만, 글자를 표현하는 것이 의미가 있는 것 같아서 Dot matrix를 했다. 내 마음속에 있는 생각들을 글자로 모두 표..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 치아 미백에 대한 최근 연구 경향에 관한 고찰 ( 1Pages )
치아 미백제가 치아와 치과 재료에 미치는 영향에 관한 고찰 최근 외모에 대한 관심이 급증하면서 치아 미백에 대한 많은 연구들이 이루어지고 있다. 치아 미백은 치아를 삭제하지 않고 간단하게 치아의 색상을 개선하는 이점을 가진다. 치아 미백에는 전문가 미백(in-office bleaching)과 자가 미백(home bleaching)으로 나누어지며, 전문가 미백은 고농도의 과산화수소와 할로겐, 레이저, 플라즈마, LED..
논문 > 의약학분야 |
 [마이크로컴퓨터] 7-세이그먼트 실험 ( 7Pages )
[마이크로컴퓨터] 7-세이그먼트 실험 ● 착시를 이용한 두 자리 7-세그먼트 LED 디스플레이 ● 실험 목적 (1) 타이머/카운터0 오버플로 인터럽트 사용 방법을 익힌다. (2) 두 곳을 빠른 시간차로 번갈아가며 디스플레이하여 서로 다른 두 숫자가 동시에 보이게 하는 착시 현상으로 두 자리 숫자를 디스플레이한다. 개별적으로 2개의 7-세그먼트를 이 용할 경우보다 연결되는 디지털 I/O 핀 수를 감소시키는..
리포트 > 공학/기술 |
 [사례 분석] 네오세미테크 ( 34Pages )
네오세미테크 목차 네오세미테크 소개 유망기업이었던 네오세미테크 우회상장 네오세미테크의 회계부정 회계감사법인 소액주주들의 소송 결론 네오세미테크 네오세미테크 관련 뉴스 네오세미테크 네오세미테크 네오세미테크 태양광 웨이퍼 제조업체인 네오세미테크 반도체가 갖는 에너지 변환 특성을 이용 태양광을 전기로 바꾸어주도록 하여 결국 천연적인 청정 태양광 에너지를 창출 녹색성장기업 유..
비지니스 > 사례분석 |
 기계공학실험(엔코더) ( 8Pages )
□ Encoder의 용도 - Encoder 는 회전각도, 위치 이동량과 같은 아날로그 값을 디지털 값과 같이 취급할 수 있도록 코드화 전기신호로 출력하는 변환기이다. 컴퓨터 주변기기. 계측기기, 산업용 로봇, NC 공작기계 등에 널리 사용되며, 선형위치 및 변위나 직선 이동량을 검출하기 위한 Linear Encoder와 회전속도, 회전량, 각도 등의 검출을 위한 Rotary Encoder가 있다. 이 실험에서는 모터가 무엇을 하..
리포트 > 공학/기술 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 [전자공학] 마이크로 응용설계- 도서관 좌석 시스템 ( 12Pages )
마이크로 응용설계 도서관 좌석 시스템 목차 1. 설계 목표 2. 좌석 시스템 예시 3. Flow Chart 4. 제작 과정 5. 완성사진 6. Trouble Shooting 7. 소스 코드 및 주석 설계 목표 입력 : 키패드를 통하여 자리 인식(7-segment 표시) 출력 : 누른 자리 =] Green LED ON 반납 예정 알림 =] Red RED ON 광운 중앙도서관 좌석 시스템 안내 원하는 좌석을 선택 후 *을 누르십시오 ]]1~64개 좌석 중 선택된 좌..
리포트 > 공학/기술 |
 전자기 유도를 이용한 멈추지 않는 팽이 ( 14Pages )
전력기기실험 전자기 유도를 이용한 멈추지 않는 팽이 Contents 설계목표 13 Block Diagram and 관련이론 245 팽이의 회전 원리 회로도 and 회로 전체 동작 원리 작품 사진 6 Trouble Shooting 7 설계일정 and 역할 분담 1. 설계목표 전자기 유도의 원리를 이용하여 전자석을 만든 후 이를 이용하여 멈추지 않고 계속 돌아가는 팽이를 구현하며 동시에 모터를 동작 시킨다. 목표 2.Block Diagram 발전기 팽..
리포트 > 공학/기술 |
 [레포트] 맥박센서 제작 ( 13Pages )
report 제목 : 맥박센서 제작 작품 설명 CDS로 혈액의 농도를 감지하여 비교기에서 증폭 후 ADC로 디지털화 한후그 값을 AT89C51로 처리 후 Segment에 출력한다. 작품 부품 (1) AT89C51 (2) 7-segment (common anode) (3) CDS 센서 (4) ADC 0804 (5) Regulator 7805 (6) TR(NPN 2SC945) (7) BUZZER (8) LM224 (9) RED ․ GREEN LED (10) 각 종류의 저항 및 캐패시터 플로우차트 회로도-비교..
리포트 > 공학/기술 |
 BTL,BTL분석,BTL사례,옥외광고,옥외광고사례,옥외광고분석,Outdoor Adberrtising ( 12Pages )
광고계 동향(BTL) [옥외광고] Outdoor Advertising PPT란 옥외광고란 옥외광고 사례 옥외광고의 특징 결론 불특정 다수를 대상으로 하여 옥외의 일정공간에서 일정기간동안 계속적으로 시각적 자극을 주는 광고물의 총칭 넓은 의미로는 간판, 광고탑 등 좁은 의미로는 광고게시의 장소를 제공하는 옥외 구축물 현수막, 애드벌룬, 간판(LED), 버스랩핑 등 환경과 자연보호를 위해 다른 광고보다 특히 ..
리포트 > 경영/경제 |
 엘지전자,3D,3D시장,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 17Pages )
목차 서론 1. INFINIA 선정 동기 2. LG 전자 소개 3. TV시장 현황 4. LG전자 INFINIA 본론 5. S.T.P 분석 6. SWOT 분석 7. 4P 분석 결론 1. INFINIA 선정 동기 삼성은 3D LED TV를 런칭함에 있어 기존 브랜드인 PAVV에 단지 확장을 시도하여 PAVV Full HD 3D LED TV로 네이밍한 것에 반해 LG는 신제품 3D LED TV에 기존 브랜드인 Xcanvas에서 벗어나 새로운 브랜드로 INFINIA를 런칭하였다. INFINIA는 ..
리포트 > 경영/경제 |
 tradeKorea를 활용한 전자무역 성공사례 ( 9Pages )
tradeKorea를 활용한 전자무역 성공사례 1. tradeKorea를 함께 LED로 세계를 밝히다 * 인탑스(주) 개요 혁신을 통한 최우수 기업이 되도록 하겠습니다. 1981년 인탑스(주) 설립후 1992년 전북 정읍시에 별도법인으로 설립하여 광주광역시로 이전하여 운영한지 19년이 되어갑니다. 당사는 호남권 최고의 금형개발, 사출성형 및 전자제품 ASSY 기술을 바탕으로 진공청소기, 에어컨, 냉장고 부품을 제조하..
리포트 > 공학/기술 |
 논리회로실험 - encoder decoder 7segment[7세이그먼트] ( 24Pages )
실험 목적 - Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다. - 7 - segment LED decoder를 이해하고, 특성을 실험으로 익힌다. 실험 과정 1.4X2 encoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 인코더 회로를 구현한 후 Modelsim 값과 DE2-115에서의 동작을 확인한다. 1) Quartus Ⅱ 구동 후 4X2 encoder 회로를 [그림 14]처럼 구성하고 Modelsim을 이용하여 파형을 확인 후 DE2-115보드에..
리포트 > 공학/기술 |
 마이크로프로세서 응용 및 실험 - ADC UART ( 9Pages )
마이크로프로세서 응용 및 실험 - ADC UART 1. 설계과제의 목표 및 제한조건 ◎ 제목 : 통신을 이용한 시간설정 기능이 있는 간이 시계 ●시간(0~59초)을 PC화면에 통신을 이용하여 표시 ●제한조건 - 준비물 : 가변저항(1개), 마이컴, 직렬통신선, LED 5개 - 입력전압 범위 : 0 ~ 5V - 마이컴은 A/D 값을읽어 소수점 앞자리 숫자에 해당하는 LED수를 점등 - 시간 설정 모드는 진입 및 해제 조건 : s ..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10