전체 (검색결과 약 1,156개 중 7페이지)

 Milling(황/정삭)가공 기본 ( 8Pages )
제목 : 정삭 Milling 가공 기본 MAX-I Design Indexable Insert Face Mills를 이용한 정삭 가공 방법 소개 본 내용은 Technical Bulletin의 Ingersoll - Cutting Tool Company 의 영문판 내용을 번역 요약한것임 (원본 내용중 삭제해도 무방하다고 판단된 많은양의 내용 삭제되고, 모든 내용은 임의적으로 요약한 것이며, 그림또한 제외됨). 1. face milling cutter for steam-tight joint (Indexable ..
정보/기술 > 기타 |
 기초적인 조리방법의 이해 ( 13Pages )
본 자료는 호텔 및 외식주방에서 사용되는 가장 기초적인 조리방법의 여러 종류에 대하여 상세하게 정리하였고, 오랜기간 조리외식분야 프로 전문가가 심여를 기울여 만든 결과 일반인 특강 및 현 대학교 호텔조리외식계열에 사용되는 최적의 전문 자료임(A+ 점수자료) 1. 기초적인 조리방법(Cooking Methods) (1) 건식열 조리방법(Dry-heat cooking methods) (2) 습열식 조리방법(Moist-heat cooking me..
리포트 > 생활/환경 |
기초서양조리, 조리방법, 기본조리방법, 기초조리방법, 삶기, 데치기, 포칭, 순간볶기, 브레이징, 글레이징
 UML다이어그램의 종류 ( 16Pages )
uml다이어그램의 종류 목 차  -uml  -다이어그램 종류    = Usecase Diagram   = Class Diagram   = Sequence Diagram   = Collaboration Diagram   = State Chart Diagram   = Activity Diagram   = Activity Diagram   = Deployment Diagram   = Object Diagram  - Things  - Relationshhip  - Activity Diagram  - Collaboration Diagram  - Sequence Diagram  - Class Diagram  - Use Case Diagram □..
리포트 > 공학/기술 |
 PLC 기술자료 ( 18Pages )
PLC 개요 PLC History 기술장벽 – 노이즈 통신방식 비교 - Diagram 통신방식 비교 -표 Inetrnet Access Diagram Home Network Diagram PLC 응용분야 해외시장 전망 국내 시장 전망
정보/기술 > 정보통신 |
 uml조사 ( 8Pages )
8페이지 1. 모델링의 중요성. 2. 모델링 언어가 반드시 포함하여야 하는 것. 3. UML의 기원과 어떻게 UML이 OMG의 표준이 되었는가 4. UML의 목표 5. UML의 범위 6. 개발 프로세스(Development Process)와 UML 6-1. 구성 6-2. 요구분석(Requirment Analysis) 6-3. 분석(Analysis) 6-4. 설계(Design) 6-5. 구현(Implementation) 6-6. 테스트(Test) 7. UML 구성 7-1. Use Case Diagram 7-3...
정보/기술 > 정보통신 |
 UML 조사 레포트 ( 8Pages )
그림 UML구성요소 ◎UML(Unified Modeling Language)의 정의와 특징 UML이란 복잡한 소프트웨어 시스템 개발 모델링에 필요한 구성요소를 옆의 그림1과 같이 제시하고 이를 이용한 추상화 방법과 산출물들을 프로젝트 참여자들이 쉽게 이해할 수 있도록 소프트웨어 개발 방법론(표현 및 기법)들이 통합된 객체지향개발 표준통합 모델링 언어이다¹. UML은 모델링 언어일 뿐 메쏘드(또는 방법론)는 아니다. ..
리포트 > 공학/기술 |
 [시스템 분석 및 설계] 가상의 온라인서점 use case diagram 구현 ( 21Pages )
■ 프로젝트 기술서 1. 목 적 - 국내 도서․음반 시장의 통합과 IT기술을 융합하여 고객에게 보다 나은 서비스 제공 2. 목 표 - 고객에게 제품의 검색과 주문 서비스를 제공하는 온라인 상점의 시스템의 구축 - 표준화된 방법론의 절차 이행 및 정형화된 산출물 결과 도모 - 시스템이 해야 할 일과 범위를 명확히 정의 - 시스템의 비즈니스와 사용자의 요구사항을 정확히 이해, 의사소통 원활 3. ..
리포트 > 경영/경제 |
 전자기 유도를 이용한 멈추지 않는 팽이 ( 14Pages )
전력기기실험 전자기 유도를 이용한 멈추지 않는 팽이 Contents 설계목표 13 Block Diagram and 관련이론 245 팽이의 회전 원리 회로도 and 회로 전체 동작 원리 작품 사진 6 Trouble Shooting 7 설계일정 and 역할 분담 1. 설계목표 전자기 유도의 원리를 이용하여 전자석을 만든 후 이를 이용하여 멈추지 않고 계속 돌아가는 팽이를 구현하며 동시에 모터를 동작 시킨다. 목표 2.Block Diagram 발전기 팽..
리포트 > 공학/기술 |
 [마케팅 고객관리] 한마음 약국 고객 관리 데이터베이스 Schema & Query ( 8Pages )
1 Entity Relationship Diagram Schema & Query Create table & insert contents Schema & Query Customer table Pharmacy table Medicine chart table Factory table Schema & Query 5 Search of data Schema & Query 6 Schema & Query Update 7
비지니스 > 컨설팅/마케팅 |
 네비게이션에 대한 자료입니다. ( 42Pages )
■ Wise Navigation : Designing the user experience - Case Study : VirtualMD Navigation System [Table of Contents] I. Initial Round for Big Shooting - Presentation Objectives - About the Presenter - The Best Way of Knowledge Transfer - Overview of Navigation System - Billy’s Information Architecture Design Methodology - Designing the User Experience II..
정보/기술 > 정보통신 |
 인터넷솔류션사업계획서 ( 23Pages )
THE BEST B2B PROVIDER 2000.7 WWW.SAVEGATE.COM 1. 요약 1.1 요약 1.2 사례 2. 솔루션 및 서비스 2-1 Diagram 2-2 SaveGate Partnership Program 2-3 SaveGate Enterprise Program 2-4 SaveGate eXchange 3. 조직 및 인원구성 3-1 경영진 3-2 조언자 4. 시장분석 4-1 시장분석 4-2 시장성 5. 목표시장 5-1 Target 6. 수익구조 7. 재무계획 7-1 가정들 7-2 손익계산서 7-3 현금흐름표 ..
비지니스 > 사업계획서 |
 디지털 디자인 - 4비트 parity generator, 5비트 parity checker ( 7Pages )
디지털 디자인 - 4비트 parity generator, 5비트 parity checker 1.even parity 4bit generator 입력 4비트 뒤에 parity bit를 붙여 1의 개수를 even으로 만든다. (1) 진리표 (2)Boolean funtion P=w⊕x⊕y⊕z (3)karno map (4)schematic diagram (5)verilog HDL code (6)compile log analysis 회로에 대해 간략한 합성결과를 알수있다. Compilation Report를 통해 설계한 회로에 대한 정보를 볼 수 ..
리포트 > 공학/기술 |
 연구 ,개발 과제에 따른 계획서 및 프로젝트성 파워 포인트 양식 ( 20Pages )
PowerPoint Template logo 홈페이주소 Company Logo Contents 홈페이주소 Company Logo Hot Tip How do I incorporate my logo to a slide that will apply to all the other slides On the [View] menu, point to [Master], and then click [Slide Master] or [Notes Master]. Change images to the one you like, then it will apply to all the other slides. 홈페이주소 Company Logo D..
서식 > 파워포인트양식 |
 연구, 개발 , 프로젝트성 업무 , 사업계획서등 의 보고자료용 양식 ( 20Pages )
PowerPoint Template logo 홈페이지 주소 Company Logo Contents 홈페이지 주소 Company Logo Hot Tip How do I incorporate my logo to a slide that will apply to all the other slides On the [View] menu, point to [Master], and then click [Slide Master] or [Notes Master]. Change images to the one you like, then it will apply to all the other slides. 홈페이지 주소 Compan..
서식 > 파워포인트양식 |
 결고 - 3성분계의 상태도 ( 11Pages )
3성분계의 상태도 -실험 예비보고서 1.요약 이 실험은 섞이지 않는 두 액체와 그들의 각각과 섞이는 제 3의 액체로 이루어진 3성분계의 용해도 곡선을 결정하는 것을 그 목적으로 한다. 주어진 액체 A가 두 종류의 액체 B,C와 섞이고 B와 C가 서로 섞이지 않을 경우, B와 C에 적당량의 A를 넣어 그들이 서로 섞이게 할 수 있다. 주어진 B와 C의 농도에 대해서 그들이 완전히 섞이도록 하는 데 필요한 A의..
리포트 > 공학/기술 |
공학, 기술
1 2 3 4 5 6 7 8 9 10