전체 (검색결과 약 1,156개 중 3페이지)

 중앙난방보일러 및 방열기 제조업의 시장동향 ( 3Pages )
중앙난방보일러, 방열기, 제조업, 연도별, 사업체수, 월평균종사자수, 연간급여액, 출하액, 생산액, 부가가치, 유형고정자산 연말잔액, 주요생산비, 그래프, 연간자료, 제조업시장동향, 시장, 동향, 년간, 년간동향, Manufacture of Central Heating Boilers and Radiators 1991년부터 2000년까지 연도별 중앙난방보일러 및 방열기 제조업의 사업체수, 월평균종사자수, 연간급여액, 출하액, 생산액, 부가가..
비지니스 > 경제동향 |
중앙난방보일러, 방열기, 제조업, 연도별, 사업체수, 월평균종사자수, 연간급여액, 출하액, 생산액, 부가가치, 유형고정자산 연말잔액, 주요생산비, 그래프, 연간자료, 제조업시장동향, 시장, 동향, 년간, 년간동향, Manufacture of Central Heating Boilers and Radiators
 청관제 투입량 ( 5Pages )
청관제 투입량 및 약주 펌프 조절 방법 2002.11.15 삼양 보일러㈜ 기술 개발부 청관제 투입량 선정서 1 BOILER NAME: 2 BOILER CAPACITY :3 WORKING PRESS. :4 약주 펌프 :5 약주 탱크 용량 6 약주펌프 용량 :7 급수펌프용량 :8 [계산식] 관류형 보일러 17 50 25 T/HR KG/Cm2 lit ㎖/min AX1 - 21 2080 ℓ/hr (정상적인 사용압력에서의 실제 급수량 )8.1 청관제 사용량 산정(1시간 연속 가동시) 보일러..
정보/기술 > 기타 |
 [공업화학실험] 기-액 평형 실험 ( 4Pages )
1. 실험제목 ■ 기-액 평형 실험 2. 실험목적 ■ 물질 전달과정의 하나인 증류의 개념을 이용하여, 일정압력 하에서 두 이상이 섞여있는 혼합용액을 일정 온도로 증발시켜 혼합증기와 평형관계가 기-액 평형 장치 내에서 이루어지는 것을 실측함으로써 평형증류에 대한 기초지식과 장치의 조작방법을 이해하고, 기-액 평형관계를 관찰하여 실습을 통한 이론과 실제를 비교해 본다. 3. 실험방법 ① 비중병..
리포트 > 자연과학 |
 국내 동보중공업㈜의 기업분석(2003년까지)[pdf] ( 9Pages )
본 기업분석은 시장조사, 수요예측 전문기관인 ㈜밸류애드에서 제작된 자료로 <2004 기업분석 견본>이 무료로 제공되고 있으니, 충분히 검토후 구매하시기 바랍니다.[NL][NL]1999년부터 2003년까지 국내 상장기업의 재무제표를 이용한 기업 분석 자료이며, 상위 업종 업체 리스트와 기술 기여도 분석이 포함되어 있습니다.[NL][NL]2003년까지 재무재표를 이용하였으므로, 2004년에 신규 업체나 상장 폐지 업..
비지니스 > 기업분석 |
 06년 국내 기름보일러의 시장동향(2000년부터 2006년4분기까지) [PDF] ( 15Pages )
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 기름보일러에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 세세분류 : 기름보일러에 대한 간략 개요, 산업동향(2000년부터 2006년4분기까지) 등이 기술되어 있습니다. 통계 중심으로 작성되어 있으며, <밸류애드 산업동향(2005년도) [견본]>이 무료로 제공되..
비지니스 > 경제동향 |
 06년 국내 가스보일러의 시장동향(2000년부터 2006년4분기까지) [PDF] ( 15Pages )
본 컨텐츠는 시장조사, 수요예측 전문업체인 ㈜밸류애드에서 가스보일러에 대한 시장동향 정보입니다. 작성일자를 반드시 확인하시고, 최근에 작성된 정보를 구매하시기 바랍니다. 본 컨텐츠에서는 세세분류 : 가스보일러에 대한 간략 개요, 산업동향(2000년부터 2006년4분기까지) 등이 기술되어 있습니다. 통계 중심으로 작성되어 있으며, <밸류애드 산업동향(2005년도) [견본]>이 무료로 제공되..
비지니스 > 경제동향 |
 보일러의 개요 ( 13Pages )
제1장 보일러(Boiler) 개요 1.1 보일러의 정의 화염, 연소가스, 기타 고온가스를 이용하여 강철로 만든 밀폐된 용기 안에서 물을 가열하여 높은 온도, 높은 압력의 물 또는 증기를 발생시키는 장치 1.2 보일러 구성의 3대 요소 ⑴ 보일러 본체 : 연소열을 받아 증기를 발생시키는 동체 및 관군 ⑵ 연소장치 : 연료를 연소시키기 위한 장치로써 연소실, 연도, 연돌 등 ⑶ 부속장치 : 보일러를 안전하고 효율적..
정보/기술 > 강의/교재 |
 보일러의 열효율 산정방법 ( 20Pages )
보일러의 열효율 산정 방법 - Boiler의 열정산 - 박형석 에너지관리공단 기자재관리부/부장 1. 열정산의 개요 열정산이란 열을 사용하는 각종설비나 기구에 어떠한 물질이 얼마만큼의 열을 가지고 들어갔으며 또한 들어간 열이 어디에서 어떠한 형태로 얼마만큼 나왔느냐를 계산하는 것으로서 열수지(Heat Blance) 또는 열감정이라고도 한다. 즉 어느 기기에 공급된 입열과 출열과의 관계를 명확히 계..
정보/기술 > 메뉴얼 |
 각종자료및프로그램-1- | cad화일.도면,프로그램외 ( 1Pages )
가대선정-전실급기풍량,풍속[1][1].익스팬션.xls
정보/기술 > 토목/건축 |
 지입차량 비용분석.xls
[ 지입차량 비용 분석 ] 2월 구분 급여 부대 경비 TOTAL 현배송 수량 현물류비 적정 물류비 연물류비 유류대 기타 제품1 제품2 제품3 제품4 제품5 수량 금액 수량 금액 일 지입 115,000 20,000 135,000 363 370 7 32 772 175 1,350 100 1,350 135,000 직영 75,000 30,000 12,000 117,000 142 115 30 20 307 380.65 1,170 100 1,170 117,000 ....
서식 > 회사서식 |
정보, 기타
 기초회로실험 - 직렬 및 병렬 공진 회로와 필터 ( 13Pages )
직렬 및 병렬 공진 회로와 필터 1. 실험 목적 RLC 직렬회로 및 병렬회로의 주파수응답을 해석하여 직렬 및 병렬 공진현상을 이해한다. RLC 공진회로를 이용하여 대역 통과 필터와 대역 저지 필터로 사용될 수 있음을 확인한다. 2. 실험 준비물 오실로스코프 1대, 함수발생기(정현파 발생) 1대, 멀티미터(교류 저항 측정) 1대, 저항 1[㏀] 1개, 커패시터 0.01[㎌] 1개, 인덕터 10[mH] 3. 기초이론 (1)..
리포트 > 자연과학 |
 전선관굵기산출.xls
배관/배선 굵기 산출 * 규격선택후 가닥수를 입력하시면 전선관 굵기가 나옵니다. (전선의 굴곡이 적은경우 8°이하 48%적용하며 8°이상시 32%적용) 판매번호 종류 규격 가닥수 단면적 단면적합계 강제전선관 (Steel) 후렉시블전선관 CD 전선관 ELP전선관 PE전선관 32% 적용시 48% 적용시 32% 적용시 48% 적용시 32% 적용시 48% 적용시 32% 적용시 48% 적용시 32% 적용시 48% 적용시 1 영상케이블 ECX 5C-2..
정보/기술 > 토목/건축 |
전선관굵기산출.xls
 배관 덕트 설계 계산서 ( 1Pages )
설계계산서(배관,덕트,규격기준표).xls
서식 > 자동화서식 |
 각종자료및프로그램-1- | cad화일.도면,프로그램외 ( 3Pages )
기구수에_따른_관경계산법.xls
정보/기술 > 토목/건축 |
 용접봉 소요량 산출 프로그램
용접봉_소요량_산출_프로그램.xls 15 용접봉소요량 5 1 용접분류 Click ....
정보/기술 > 토목/건축 |
용접봉 소요량 산출 프로그램
1 2 3 4 5 6 7 8 9 10