전체 (검색결과 약 10,149개 중 7페이지)

 [디지털 시스템설계] 용어조사 ( 10Pages )
Verilog-HDL ●베릴로그(Verilog) - HDL 정의 전자공학에서 하드웨어 기술 언어(- 記述 言語, hardware description language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. 흔히 HDL이라고 줄여쓰기도 한다. 회로의 원하는 동작을 기술할 수도 있고, 원하는 회로 구조를 기술할 수도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. HDL의 문법과 의미(semantics)는 소..
리포트 > 교육학 |
 CPU ( 10Pages )
■ CPU 개념 CPU(Central Processing Unit)는 '중앙집적회로', 컴퓨터의 중심부에 해당하는 가장 중요한 부분으로 명령어의 해석과 실행을 제어하는 역할을 담당한다. CPU는 사람에 비유를 하면 두뇌와 같은 중요한 역할을 한다. 사람의 두뇌는 눈, 코, 귀를 통해 들어오는 각종 정보를 지각하고 판단을 내리며 팔, 다리에 지시를 한다. 컴퓨터의 CPU도 키보드 등의 입력장치나, 하드디스크 등을 통해 들어..
리포트 > 공학/기술 |
공학, 기술
 열전대 ( 40Pages )
1. 열전대 온도계 열전대는 구조적으로 간단하고 조작이 간편하여 산업현장이나 실험실등에서 많이 쓰이는 전기 신호식 온도계이다. 측정값이 전기적 신호인 전압크기로 출력되어 측정값을 먼 거리까지 전송할 수 있어 중앙제어에 유용하게 활용되고 있는 범용의 온도센서이다. 열전대는 0.5K부터 2500℃까지 광범위한 측정범위를 갖고 있고 0.1 ~ 1% 의 정밀도를 갖고 있다. 300여종의 열전대가 있으나 한..
리포트 > 자연과학 |
 [암석역학] 압축강도시험 보고서 ( 9Pages )
압축강도시험보고서 1. 시험의 목적 현장에서 채취한 암석 시험편을 이용하여 실험실에서 비교적 간단한 실험을 통해 측정된 강도를 통해 현장 암반의 강도를 예측하여, 좀 더 정확한 설계 및 시공을 하는데 그 목적이 있다. 2. 시험장비 암석 코어, 사포, 철판, 연마제, 증류수, 비닐, 버니어 켈리퍼스, 강성압축시험기(재하장치, 계측장치 및 하중제어장치, 출력장치), 커팅기 압축코어, 인장코어, 철..
리포트 > 자연과학 |
 실험보고서 - 열전대[Thermocouple]을 이용한 온도측정법 ( 10Pages )
[차례] 1. 실험제목 2 2. 실험목적 2 3. 실험이론 2 가. Thermocouple 2 1) About the Thermocouple 2 2) 원리 2 3) 기준접점(영접점) 3 4) Thermocouple의 종류 4 나. 배경이론 5 다. 용어개념 6 라. 냉각 곡선을 이용한 융점확인 7 마. 과냉을 통한 상분석 및 변태점확인 7 4. 실험재료 및 기구 8 5. 실험방법 8 6. 결과 8 7. 비고 및 고찰 9 8. 참고문헌 10 1. 실험제목 Thermocouple을 이용한 온도측정..
리포트 > 자연과학 |
 전기,전자 직렬 첨삭자소서 ( 9Pages )
실제 지원 동기 부분은 지원 직무와 연관된 장점, 준비사항 등을 핵심적으로 요약, 정리, 나열하여 잘할 수 있다라는 논리로 기술하여야 하기 때문에 지금 이 부분처럼 정리하시는 것이 좋습니다. 일단 이 부분에서도 본인만의 구체적인 경험을 중심으로 기술하셔야 합니다. 다만 경험의 기술 부분에서도 상황이나 과정 등의 설명 중심이 아니라 본인의 노력과 행동부분이 가장 다양하고 충실하게 기술하셔..
서식 > 자기소개서 |
기술, 부분, 본인, 해주다, 때문, 좋다, 장점, 지원, 보다, 노력, 정리, 경험, , 행동, 다양하다, 직무, 어떻다, 실제, 프로젝트, 내용
 경영정보학 - 무선 통신 기술에 대해서 ( 22Pages )
1.무선 통신이란. Wireless communication 또는 OTA(Over the Air) 이란 케이블이나 광케이블 등의 전송로를 사용하지 않는 전기통신의 형태 또는 그 기술을 의미한다. 장 시간 통화를 해야 하거나 통화 중 메모를 필요로 할 때, 운전 중이거나 짐을 들고 있을때, 생각보다 통화중에 두 손을 써야 하는 상황은 많다. 잠깐이라면 몰라도 휴대폰을 계속 귀에 대고 있어야 한다는 것은 불편하기 짝이 없는 일..
리포트 > 경영/경제 |
 사이리스터 점호 장치의 사용법 ( 15Pages )
본 자료는 공업전문대학교 전기공학, 전자공학과의 전력변환실습 과목 강의에 이용되는 자료로서 사이리스터 점호 장치의 사용법에 대해 상세하게 설명하였으며, 실습에 꼭 필요한 자료임. 1. 실험 목적 2. 관련 이론 가. 점호각부(firing angle section) 나. 각도 제어부(Angle Control Section) 다. 동기화 입력부(SYNChronization INPUT section) 라. 직류 전원부(DC SOURCE section) 마. 점호각제..
리포트 > 공학/기술 |
사이리스터 점호장치, 점호각부, 각도제어부, 동기화 입력부, 직류전원부, 점호각제어부
 컴퓨터 아키텍쳐[computer architecture]에 관한 자료 ( 6Pages )
computer architecture 1. computer architecture 란 명령어 세트의 구조, 프로세서, 메모리, I/O 등의 시스템 구성, 그리고 마이크로구조들을 포함한 전반적인 것을 지칭한다. 따라서 컴퓨터 구조를 공부한다는 것은 구성 요소들의 동작원리와 특성들 외에도 다음과 같은 많은 것들을 고려하는 것이라 볼 수 있다. 컴퓨터가 어떠한 방식으로 명령어를 받고 명령을 수행하는지, 데이타 표현 , 문자를 표현..
리포트 > 공학/기술 |
 기계공작실습 - 선반 실험 보고서 ( 12Pages )
1. 선반에 의한 절삭작업 선반은 가공물에 회전운동을, 그리고 공구인 바이트(gingle point tool 또는 formed tool)에 필요한 절삭깊이(depth of cut)와 피드(feed)를 주어 旋削(turning)을 하는 공작기계로서 기계 제작 공장에서 가장 많은 비율을 차지하고 있다. 선반에서 할 수 있는 작업에는 원통깎기(turning)-a, 보오링(boring)-a , 단면깎기(facing)-b, 절단 작업(parting)-b , 테이퍼 깎기(taperin..
리포트 > 공학/기술 |
 만도 생산기술 직무 첨삭자소서 ( 10Pages )
만도 지원 동기 및 향후 진로계획에 대하여 기술해주시기 바랍니다. 입사 후에도 응용을 통한 새로움의 추구를 통해 신공법과 신기술을 개발하여 생산준비와 품질을 확보하겠습니다. 이후 마지막 질문에 기업 지원 동기를 묻고 있으니 여기선 직무지원 동기를 작성해주시면 될 것 같습니다. 첫 문장은 질문에서 요구하는 바, 를 바로 작성해주시는 것이 좋습니다. 입사 후에도 (창의력과 응용력을 발휘하고)..
서식 > 자기소개서 |
경험, 지원, 기술, 확보, 모듈, 만도, 설계, 해주다, 통해, abs, 설비, 생각, 보다, 발휘, 시스템, 대한, 생산, 동기, , 직무
 전산업무규정 ( 6Pages )
전산업무 규정 제1장 총칙 제1조【목적】 이 규정은 당사의 전산에 관한 기본적 사항을 정하여 종합적이고 효율적인 당사업무 전산화를 도모함을 그 목적으로 한다. 제2조【정의】 이 규정에서 사용하는 용어의 정의는 다음 각 호와 같다. 1. 전자계산조직이라 함은 입력, 제어, 기억, 연산 및 출력의 기능을 가진 하드웨어(Hardware)와그 하드웨어의 효율적 동작을 위한 소프트웨어(Sofrware) 및 일체의..
서식 > 회사서식 |
 정보시스템의 개요 및 개발 ( 10Pages )
시스템! 제 1 장 시스템의 개요 1.1 시스템의 기본 개념 1.1.1 시스템의 정의 어떤 목적을 위해서 하나 이상의 기능 요소가 어떤 특별한 목표를 함께 수행하는 서로 밀접한 관련이 있는 부분, 요소, 처리, 구성, 성분, 기능들의 집합 1.1.2 시스템의 구성 요소 입력(Input) 처리방법이나 제어조건, 처리할 데이터를 시스템에 투입하는 것 (자료입력) 이들 5요소는 상호 유기적으로 결합되어 시스템의 ..
리포트 > 공학/기술 |
공학, 기술
 pid동작.pdf ( 8Pages )
2. 비례동작 비례동작(Proportional Control)이란 조작량이 편차에 비례하여 연속적 으로 변화하는 제어방식이다. 따라서 제어량의 변화에 따라 조작부는 연속 적으로 순조롭게 동작하게 된다. íÂe¹÷®¤Å£µÁ¶Ç (SV) KG °ÛÅ£ÁÀ¶Ç (MV) ø¤¶Ç (PV) ÃÁÅ£ MV = KGe +b= 100e/PB +b MV :´±µÀñ¶ÇñʸÛâÂÅ£e:Á·¹Â (PV-SV) ¥îí÷ KG :«µ (100/PB) ¨b:Á·¹Â«0À °Ã Bias(£)¥îí÷¡Ï§â±¤ 비례대와 감도와의 관계를 보..
정보/기술 > 토목/건축 |
pid동작.pdf
 (4G이동통신) LTE (롱텀에볼루션) 기술정리 ( 6Pages )
(3GPP 4G이동통신) LTE (롱텀에볼루션) 기술정리 (MAC, PHY, 프레임 구조) 의 글입니다. 3GPP의 차세대 4G 이동통신 기술인 LTE에 대하여 자세히 알아 본 글입니다. MAC, PHY 및 프레임 구조, 엑세스 과정 등에 관하여 자세히 작성한 글입니다. 1. 3GPP Evolution 과정 2. LTE 프로토콜에서의 MAC - LTE의 transport channels 3. LTE DL PHY _badtags (OFDM) 4. LTE UL PHY _badtags (SC-FDMA) 5. Fram..
리포트 > 공학/기술 |
LTE, 롱텀에볼루션, 3GPP, LTE기술, LTE PDF
1 2 3 4 5 6 7 8 9 10