전체 (검색결과 약 260개 중 4페이지)

 외식유형별이용성향에따른선택속성차이연구 ( 14Pages )
외식유형별 이용성향에 따른 선택속성 차이연구 - 가족외식과 직장외식을 중심으로- A Study on the Difference Selection Attributes in Customer's Behavior between Family and Business Dining-out 정광현*1) Jeong, Kwang-Hyun Abstract There is a notable change in Korea's food-service industry which are due to the increased GNP, women's advancement in the society, increased number of..
리포트 > 사회과학 |
 정보보안 스니핑[sniffing]에 대하서 ( 12Pages )
스니핑(Sniffing) 목 차 스니핑 공격이란 무엇인가 스니핑의 원리 스니핑 기법 스위칭 환경에서의 스니핑 스니핑 공격이란 ‘sniff’는 사전에서 찾아보면 ’코를 킁킁거리다‘라는 의미다. 스니핑 공격을 수동적(Passive) 공격이라고도 말한다. 스니퍼(Sniffer)는 컴퓨터 네트워크상에 흘러다니는 트래픽을 엿듣는 도청장치 Sniffing란 스니퍼 프로그램을 이용하여 네트워크상의 데이터를 몰래 캡쳐..
리포트 > 공학/기술 |
 사회과학조사방법론 - 자료분석 방법[기초 통계분석 중심으로] ( 11Pages )
사회과학조사방법론 ─── - 자료분석 방법 - 자료분석 방법(기초 통계분석 중심으로) Ⅰ. 개관 1. 빈도분석 (frequency) 빈도분석은 원자료의 내용들이 빈도분포표 상에서 어떠한 분포적 특성을 가지고 있는지를 파악하는데 이용되고 있다. 이들 분포들의 특성을 나타내는 통계량들은 첫째, 빈도, 상대적 백분율, 누적빈도와 같은 빈도분포표로 구성되어 있다. 둘째, 최빈값(mode), 중앙값(median), 산..
리포트 > 사회과학 |
 물리실험보고서 - 중력가속도 측정 ( 4Pages )
실험 결과 보고서 ․ 실험 목적 자유낙하 운동하는 물체의 운동을 이해하고, 중력가속도의 값을 측정한다. ․ 이론 마찰을 무시할 때 각도 만큼 기울어진 비탈면 위에 있는 물체에 작용하는 가속도 a의 크기는 이다. 여기서 은 중력가속도의 크기이다. 이때 가속도는 시간에 따라 변하지 않으며 이 경우 경과한 시간 , 움직인 거리 , 처음 속도 사이에는 다음과 같은 식이 성립한다. 빗면 위에 물체..
리포트 > 자연과학 |
 학자들의 지역사회복지 실천 모델의 유형(모형) ( 10Pages )
학자들의 지역사회복지 실천 모델의 유형(모형) 1) 로스만(Rothman)의 모델 -미시간 대학교의 사회사업 교수인 로스만은 지역사회조직실천의 모델 이라는 논문을 1968년에 발표한 후, 이후에 “지역사회개입에 대한 접근”으로 수정함 -그는 지역복지 활동을 단일한 형태로 보지 않고, 세가지의 핵심적인 지역사회개입방법을 첫째, 지역사회개발(community develpoment), 둘째, 사회계획(social planni..
리포트 > 사회과학 |
지역사회복지실천, 지역사회복지실천모델, 사회복지실천모델, 사회복지실천모형, 사회복지실천, 사회복지실천모델
 방송언어의 운율적 자질에 대한 고찰 - 장단 대립 모음의 상대값 비교를 중심으로 ( 29Pages )
방송언어의 운율적 자질에 대한 고찰 - 장단 대립 모음의 상대값 비교를 중심으로- Abstract The purpose of this thesis is to examine the influence of the duration of vowels on the transmission of broadcast message. Message transmission by speech always involves some risk of message distortion. Meaning loss and multiple interpretations are very likely to occur in the process of ..
논문 > 어문학분야 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 긍정과기쁨의철학-스피노자와 니체 ( 8Pages )
스피노자와 니체 1. 표현으로서의 속성들 들뢰즈의 {스피노자: 표현의 문제}는 스피노자의 {에티카}가 자기 원인(causa sui), 실체(substance), 속성(attribut)과 양태(mode), 그리고 무엇보다 신에 대한 정의에서 출발하여 어떻게 인간의 예속과 자유라는 실천적윤리적 문제에 도달하게 되는지를 표현이라는 개념의 놀이를 통해 우리에게 보여준다. 들뢰즈가 스피노자의 {에티카}를 가로지르면서 그 원리..
리포트 > 인문/어학 |
인문, 어학
 짜임새있는 주상복합 사업계획서 ( 48Pages )
짜임새있는 주상복합사업계획서 상업시설계획, MD 특화된 계획 사업개요 사업지개요 사업지현황 인허가체크 인허가-개발절차도 토지및계약현황 개발계획 평형구성전략 상품개발계획 타겟및상품포지셔닝 적정분양가산정 상업시설개발계획 UMEC의 도입타당성 “ UMEC ” POSITIONING 층별 MD 계획 적정 분양가 산정 CASE STUDY . 이용객을 유인할 수 있는 집객력이 강한 다양한 업종으로 집적된 목적형 상업..
비지니스 > 부동산/금융 |
상업시설, 상가, MD, 임대계획
 긍정과 기쁨의 철학 ( 8Pages )
긍정과 기쁨의 철학; 스피노자와 니체   1. 표현으로서의 속성들 들뢰즈의 {스피노자: 표현의 문제}는 스피노자의 {에티카}가 자기 원인(causa sui), 실체(substance), 속성(attribut)과 양태(mode), 그리고 무엇보다 신에 대한 정의에서 출발하여 어떻게 인간의 예속과 자유라는 실천적·윤리적 문제에 도달하게 되는지를 표현 이라는 개념의 놀이를 통해 우리에게 보여준다. 들뢰즈가 스피노자의 {에티..
리포트 > 인문/어학 |
 화학생물공정 실험 - Combined Convection and Radiation ( 16Pages )
서론  가열된 표면은 주로 Convection및 Radiation 에 의해 주변 환경으로 열을 방출하게 된다. 하지만 실제로 Convection 과 Radiation을 따로 고려하는 것은 매우 힘들기 때문에 표면 온도와 표면 유체 속도에 따른 Convection 및 Radiation을 함께 살펴보는 것이 더 유용하다. Convection 및 Radiation의 합쳐진 효과는 가열된 실린더 표면의 온도와 전기적 Power Input을 측정함으로 계산할 수 있으며 ..
리포트 > 공학/기술 |
 RingOut ( 4Pages )
1.원문 Ring Out, Wild Bells from In Memoriam A. H. H. (106) Ring out, wild bells, to the wild sky, The flying cloud, the frosty light; The year is dying in the night; Ring out, wild bells, and let him die. Ring out the old, ring in the new, Ring, happy bells, across the snow: The year is going, let him go; Ring out the false, ring in the true. Ring out the grief that..
리포트 > 기타 |
리포트, 레포트
 카다로그및가격요청서신 ( 1Pages )
카다로그 및 가격요청 서신 Pan Asia Electronics Mart IMPORTERS & WHOLE SALER Dear Sirs, We owe your esteemed name and address from the Directory of Korean Electrical and Electronic Manufacturers, and are glad to note that you specialize in Black / White and Colour Television, Car Stereo and Car Speakers. We are one of the old established firm mainly dealing in almos..
서식 > 회사서식 |
 [물리실험보고서] 단진자 운동 ( 5Pages )
실험 결과 보고서 ․ 실험 목적 단진자의 주기와 길이, 주기와 질량, 주기와 각도와의 관계를 알아보고 중력가속도의 값을 측정한다. ․ 이론 그림과 같이 질량을 무시할 수 있는 길이 인 끈에 질량 인 추가 매달려 주기운동을 하는 것을 단진자라고 하고 이때의 운동을 단진동 운동이라고 한다. 그림을 보면 알 수 있듯이 추가 되돌아가려는 힘 는 이다. 이때 는 단진자가 Z축과 이루는 각도이다. ..
리포트 > 자연과학 |
 감시레이더 ( 30Pages )
Surveillance Radar(감시 레이더) 1. 레이더 2. 감시 시스템 3. 1차 감시 레이더 4. 2차 감시 레이더 5. ADS (Automatic Dependent Surveillance) 목 차 1. 레이더 (Radio Detection And Ranging) ▶ 정 의 전파를 목표물에 보내어 그 전파 energy의 반사파를 수신하고 전파의 직진성과 정속성 을 이용하여 그 왕복시간과 안테나의 지향특성에 의해 목표물의 위치(방위 및 거리)를 측정하는 장비 ..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10