전체 (검색결과 약 60개 중 3페이지)

 [데이터통신] 소켓프로그래밍- 채팅프로그램제작 ( 12Pages )
1. 개발환경 - OS : windows - Language : C++( Microsoft visual C++) 2. 프로그램 설명 및 기능 설명 -본 프로그램은 쓰레드를 이용한 소켓 채팅 프로그램이다. 서버와 프로그램 두 개로 나누어져 있으며 서버프로그램을 실행시킨 컴퓨터가 서버가 된다. 서버는 중계자의 역할을 하며 서버에 접속할 사용자들은 Client 프로그램을 실행 시켜야 한다. 서버를 실행시킨 사용자도 Client 프로그램을 실..
리포트 > 공학/기술 |
 [공학] 스탑워치 VHDL 설계 ( 10Pages )
☉스탑워치 VHDL 설계☉ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic; SEG_DATA : out std_logic_vector(7 downto 0); SEG_COM : buffer std_logic_vector(7 downto 0) ); end stop; architectu..
리포트 > 공학/기술 |
 System On Chip 설계 및 응용 - 시계 + 스탑워치 + 시간설정 + 알람설정 구현 ( 47Pages )
최종 프로젝트 Digital Clock 설계 [목 차] 1. 시계 블록도(1page) 2. VHDL 소스 설명(2~42page) ① easy_clock.vhd ② clock.vhd ③ stopwatch.vhd ④ setclock.vhd ⑤ setalarm.vhd ⑥ alarm_dot.vhd ⑥ seven_seg.vhd 3. 동작방법(43page) 4. 동작결과 5. 고 찰 시계 + 스탑워치 + 시간설정 + 알람설정 구현!! 1. 시계 블록도 Clock Stopwatch Seven_SEG SetClock SetAlarm CLK_IN RESET ..
리포트 > 공학/기술 |
 사도행전의 바울 ( 140Pages )
사도행전의 바울 연세대학교 대학원 신 학 과 김 학 철 목 차 약어표․․․ ⅱ 국문요약 ․․․ iv 제 1 장 서 론 ․․․ 제 1 절 문제 제기 및 연구 목적․․․ 제 2 절 연구 방법 및 연구 범위․․․ 제 3 절 연구사 및 연구 동향․․․ 1 1 4 11 제 2 장 유대인 바울과 유대교․․․ 제 1 절 바울과 유대교와의 연속․․․ 제 2 절 바울과 유대교와의 단절․․․ 제 3 절 바울과 유대교와의 비(非)‘연속과 단절’․ 29
리포트 > 인문/어학 |
인문, 어학
 고급 시스템 프로그래밍 - 나만의 error code 만들기 ( 8Pages )
나만의 error code 만들기 1. Error Code 2. DLL 제작 3. 01 ErrorShow 프로젝트에 DLL 끼워 넣기 4. 실행 화면 그림 -winerror.h 1. Error Code 위의 그림은 winerror.h의 내용을 일부 발취한 것입니다. 29번째 비트는 마이크로소프트에서 정의한 코드는 0, 사용자 정의 코드는 1을 나타냅니다. 따라서 사용자 정의 에러 코드가 될 수 있는 가장 작은 수는 536870912입니다. 2. DLL 제작 Step 1 - Cr..
리포트 > 공학/기술 |
 사이버 참고정보원 시스템 구축 VRRS 시스템 개발 세부계획서 ( 12Pages )
VRRS 시스템 개발 세부계획서 - 사이버 참고정보원 시스템 구축- The2nd 제안요청에 대한 이해 1.사업목표 사업추진목표 The2nd 제안요청에 대한 이해 1.사업목표 제안의 배경 및 목적 The2nd 제안요청에 대한 이해 1.사업목표 제안의 범위 ▶참고정보원서비스에 적합한 UI 개발 ▶My Library(개인화) 시스템 개발 ▶디렉토리/키워드 검색 시스템 개발 ▶질의 응답기 개발 웹 서비스 시스템 구축 ▶데이터 ..
비지니스 > 사업계획서 |
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 [c언어]c언어 기초ppt ( 88Pages )
1 제 1 장 C 언어의 시작 : 기초 C 언어의 역사 1972년 미국 Bell 연구소에서 Denis Richie에 의해 개발 ALGOL60 CPL BCPL B C 언어로 개발 C 언어의 특징 다양한 연산자 명쾌한 구조 함수에 의한 구성 표준함수 사용자 정의 함수 간결하고 일관된 데이터 처리 동적이며 능동적인 메모리 관리 포인터 사용 높은 이식성 2 프로그램의 개발 흐름 프로그램의 설계 자료구조설계 알고리즘 설계 struct Stu..
리포트 > 공학/기술 |
 [디지털 시스템 설계] 디지털 시계 최종 보고서 ( 9Pages )
디지털 시계 최종 보고서 목차 1. 연구 소개 2. 개발 내용 3. 개발 일정 4. 세부 동작 5. 문제점 및 발전 방향 첨부 : Source 파일 1. 연구 소개 - 디지털 논리 회로를 이용하여 디지털 시계를 구현 2. 개발 내용 - 보드에 내장된 16Mhz를 1초 분주 - 주어진 7SEGMENT 4자리 시간, 분 표시 - 셋팅으로 시간 설정 가능 3. 개발 일정 날짜 ~ 12.20 내용 코딩 및 디버깅 4. 세부 동작 (1) 기..
리포트 > 공학/기술 |
 Flash 강의 교재 ( 39Pages )
플래시는 벡터드로잉(Vector Drawing)을 기반으로 한웹 에니메이션 저작도구입니다. 플래시가 가지는 벡터드로잉은 비트맵과는 달리 선과 면의 내부에 채워진 정보를 저장하여 이미지를 확대해도 이미지에 대한 좌표 값만을 계산하여 표현하기 때문에 이미지가 깨지는 현상이 발생하지 않습니다. 플래시는 벡터이미지뿐만 아니라 비트맵이미지(Bitmap Image)도 사용할 수 있는데 플래시에 내장된 자체 ..
정보/기술 > 강의/교재 |
 학회지DB구축판권계약요청안내 ( 9Pages )
(주) 세종정보기술 (우)137064 서울시 서초구 방배4동 81834 희성빌딩5층 Tel(02)5944707 Fax(02)5944708 문서번호 : SJDL99121 일자: 1999. 12. 2. 수신: 한국중국소설학회 제목: 학회지 DB구축 판권계약요청 안내 1. 귀 학회의 무궁한 발전을 기원합니다. 2. 당사 (주)세종정보기술은 Digital Library 구축 전문업체로서 전국 26개 대학의 학위논문, 간행물들을 광파일시스템과 통합데이타베이스로 ..
서식 > 계약서 |
 학회지DB구축판권계약요청안내 ( 5Pages )
(주) 세종정보기술 (우)137064 서울시 서초구 방배4동 81834 희성빌딩5층 Tel(02)5944707 Fax(02)5944708 문서번호 : SJDL99121 일자: 1999. 12. 2. 수신: 한국중국소설학회 제목: 학회지 DB구축 판권계약요청 안내 1. 귀 학회의 무궁한 발전을 기원합니다. 2. 당사 (주)세종정보기술은 Digital Library 구축 전문업체로서 전국 26개 대학의 학위논문, 간행물들을 광파일시스템과 통합데이타베이스로 구..
서식 > 계약서 |
 중국소설 판권계약서 ( 7Pages )
(주) 세종정보기술 (우)137-064 서울시 서초구 방배4동 818-34 희성빌딩5층 Tel(02)594-4707 Fax(02)594-4708 문서번호 : SJ-DL9912-1 일자: 1999. 12. 2. 수신: 한국중국소설학회 제목: 학회지 DB구축 판권계약요청 안내 1. 귀 학회의 무궁한 발전을 기원합니다. 2. 당사 (주)세종정보기술은 Digital Library 구축 전문업체로서 전국 26개 대학의 학위논문, 간행물들을 광파일시스템과 통합데이타베이..
서식 > 계약서 |
 [현황과전망 연구보고서] 중국 대학도서관 가상참고서가의 구축현황과 분석 ( 17Pages )
중국 대학도서관 가상참고서가의 구축현황과 분석* On the virtual reference shelves of Chinese university libraries [목차] Ⅰ. 서론 1.1 연구목적 및 필요성 1.2 연구의 방법 및 제한 1.3 선행연구 Ⅱ. 이론적 배경 2.1 참고정보원의 개념과 범위의 확대 2.2 가상참고서가의 정의 및 평가기준 Ⅲ. 중국 대학도서관의 가상참고서가 구축형태와 분석 3.1 분석대상 및 분석방법 3.2 개괄적인 현황 분석 3..
리포트 > 사회과학 |
 WDL 사업 안내 자료 ( 15Pages )
’WDL Campus’ 구축 프로젝트 (WDL : Wireless Digital Library) 목차 1. 사업 개요 및 내용 1.1 사업배경 1.2 사업개요 2. WDL Campus 구성 2.1 시스템 구성 2.2 시스템 내부구성 3. WDL 서비스 맵 3.1 WDL 서비스 맵 1차 3.2 WDL 서비스 맵 2차 4. WDL 시안 5. e-Contents DL 설명(PDA) 6. 모바일 학생증 7. WDL 대학 컨소시엄 추진 일정 8. 학교 지원 및 협의 사항 1.1사업배경 1. 사업 개요 및..
비지니스 > 사업계획서 |
1 2 3 4