전체 (검색결과 약 6,300개 중 2페이지)

 21세기조직통합모형에관한이론적고찰 ( 34Pages )
21세기 조직의 통합모형에 관한 이론적 고찰* Theoritical Review of the intergrated Model for 21C Organization Ⅰ. 서론 Ⅱ.팀 조직 Ⅲ. 프로세스 조직 Ⅳ. 네트워크 조직 Ⅴ. 학습조직 Ⅵ. 미래 조직모델의 비교 Ⅰ. 서론 전통적 조직설계 논리는 과거의 안정적인 기업환경에서는 효과적인 설계 원리였으나, 오늘날 처럼 불확실한 상황에서의 조직설계 논리로 적용하기에는 많은 한계점을 가지고 있다. ..
리포트 > 경영/경제 |
 기초회로실험(예비+결과) - 부울대수의 정리 ( 10Pages )
부울대수의 정리 예비 보고서 1. 실험 목적 (1) 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. (2) 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. (3) 다양한 논리회로를 부울대수식으로 표현하는 능력을 배양한다. 2. 기본 이론 (1) 부울 대수란 영국의 수학자 조지 불(George Boole)이 18세기 중엽에 창안한 대수의 한 형식. 컴퓨터 동작의 기초가 된다...
리포트 > 자연과학 |
 [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계 ( 9Pages )
1. Background - 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각..
리포트 > 경영/경제 |
 논리회로 실험 - 555 타이머를 이용한 10진 업카운터 설계 ( 28Pages )
555 타이머를 이용한 10진 업 카운터 설계 555 타이머 BCD-to-7세그먼트 디코더 7-세그먼트 업 카운터 클럭 BCD 코드 7-세그먼트 각각에 대응 555 타이머란 비안정 멀티바이브레이터를 형성할 수있는 IC소자 단 2개의 저항과 1개의 커패시터로 출력주파수와 듀티사이클(duty cycle)을 제어 핀 구성도 1번 핀 GND : 접지에 직접적으로 연결 2번 핀 TRIGGER (TG) : 전원 전압의 1/3보다 낮은 전압이 되..
리포트 > 공학/기술 |
 논리게이트 - VHDL 설계 언어 실습 ( 26Pages )
◆ AND GATE(2 input) 1. 소스 library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led [= sw1 and sw2; end sample; 2. 시뮬레이션 1) Flow Summary 2) Waveform 3) time analyzer Summary 3. 블록다이어그램 ※ 2입력 and 게이트의 정상적인 동작..
리포트 > 공학/기술 |
 설계 프로젝트 - 4층 엘리베이터 컨트롤러 설계 실습 ( 11Pages )
설계 프로젝트 - elevator controller 설계 [ 제 목 ] 4층 엘리베이터 컨트롤러 설계 실습 [ 목 표 ] 엘리베이터 컨트롤러 설계 실습을 통해 지금까지 습득한 논리 회로 지식을 적용해 보고, 제시된 입력과 동작 기술에 맞게 설계되었는지 검증하는 연습을 해 본다. [ 설계조건 ] ① 4 층 건물의 엘리베이터를 기준으로 설계할 것 ② Hall 에는 1 층은 Up, 4 층은 Down 입력만 가지며, 2 층과 3층 은 Up..
리포트 > 공학/기술 |
 전자회로설계 - 자판기 회로 설계 및 제작 ( 12Pages )
자판기 회로 설계 및 제작 목 차 1. 실험 설계 목적 2. 실험 설계 주제 3. 제품 사양 4. 설계 일정 및 변경 과정 A. 1차 B. 2차 C. 3차 5. 상태도 도출 6. 입력 변수와 상태 변수, 출력 변수 설정 7. 진리표 도출 8. 카르노 맵 간소화 과정 9. 전체 회로도 10. 구성원 역할 11. 동작 매뉴얼 12. 자체 평가 및 반성할 점 1. 실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 ..
리포트 > 공학/기술 |
 디지털논리회로 - 고속 동작 곱셈기 설계 ( 9Pages )
1. 제목: 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을 이용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다. 3. 목표 및 기준 설..
리포트 > 공학/기술 |
 [정책평가] 계획의 평가(계획평가) ( 10Pages )
[정책평가] 계획의 평가(계획평가) 목차 [정책평가] 계획의 평가 I. 평가의 의의 1. 평가의 정의 2. 평가의 목표 II. 평가기준, 절차 및 유형 1. 평가기준 1) 과정성에 기초한 평가기준 2) 합리성에 기초한 평가기준 2. 평가절차 3. 평가유형 1) 평가자 소속에 따른 평가기준 2) 평가 수행 횟수에 따른 평가유형 3) 학자에 의한 평가유형 III. 주요 평가방법 1. 실험설계논리 2. ..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 문제점
 단일사례설계의 특성, 단일사례조사의 의의 ( 3Pages )
단일 사례 설계에서는 한 사례에 대해 특정한 변수를 반복적으로 측정함으로써 특정한 개입이 그 사례에 대해 어떤 변화를 가져오는가를 검증하고자 한다. 이와 같은 단일 사례 설계의 장점은 다음과 같다. 단일 사례 설계가 실천 과정으로 통합되는데 윤리적 문제가 따를 수 있다 집단 설계에서는 주로 통제집단을 구성하여 실험집단과 통제집단 간의 점수 차이를 비교하여 개입의 효과를 평가하는데, 단일..
리포트 > 사회과학 |
개입, 사례, 설계, 단일, 집단, 측정, 반복, 대한, 사회, 대상, 효과, 행동, 통제, 변화, 문제, 과정, , 클라이언트, 평가, 제공
 [정책평가] 계획의 평가(계획평가) ( 10Pages )
[정책평가] 계획의 평가(계획평가)에 대한 레포트 자료 [정책평가] 계획의 평가(계획평가) 목차 [정책평가] 계획의 평가 I. 평가의 의의 1. 평가의 정의 2. 평가의 목표 II. 평가기준, 절차 및 유형 1. 평가기준 1) 과정성에 기초한 평가기준 2) 합리성에 기초한 평가기준 2. 평가절차 3. 평가유형 1) 평가자 소속에 따른 평가기준 2) 평가 수행 횟수에 따른 평가유형 3) 학자에 의한 평..
리포트 > 사회과학 |
개념, 정의, 문제점, 해결방안, 설명, 분석
 단일사례설계의 개념과 구조, 과정을 서술하고, 단일사례설계를 활용한 논문을 찾아 조사과정의 단계를 구체적으로 제시하시오 ( 7Pages )
하더라도, 개입의 효과를 증명하기 위하여, 단일 사례 설계는 시계열 측정 방식을 도입하였다. 2차 개입을 통하여 2차 기초선 과정에서 다시 회복되었던 대상자의 문제행동이 다시 줄어든다면, 사회복지사의 개입은 효과가 있는 것으로 결론을 내릴 수 있는 것이다. 단일 사례 설계 또한 윤리적 문제를 가지고 있다. II.ABB 'B"설계-중다 기초선 설계 중다기초선의 중요한 논리는 외적 영향에 의한 대상의 ..
리포트 > 사회과학 |
개입, 초선, 설계, 문제, 변화, 사례, 사회, 대상자, 단일, 관찰, 아동, 행동, 복지사, , 효과, 의하다, 방법, 행위, 자해, 복지
 [디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) ( 13Pages )
Digital Logic Design Project - Smart Traffic Light Controller - ∙목차 - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan Result - Simulation results in waveform - Conclusions Comments 1. Specification of STLC - Local road에 차가 없거나 건널목을 건너려는 보행자가 없는 경우, highway의 신호가 green..
리포트 > 공학/기술 |
 순서회로 ( 25Pages )
순서논리회로 설계 폰 응답 머신을 제어하는 순서회로를 설계한다. 세 개의 입력 R, A, S와 한 개의 출력 Z를 가진다. 각 폰 울림의 끝에서 한 클럭 사이클 동안 R=1이다. 입력 A는 폰의 응답 여부를 나타낸다. 폰이 응답할 때, A=1이다. S는 머쉰이 2번 울리거나(S=0) 또는 4번 울린(S=1) 후 폰에 응답해야 하는지를 선택한다. 레코더가 폰에 응답하도록 야기시키기 위해, 회로는 2번 울리거나 또는 4번 ..
리포트 > 공학/기술 |
 반가산기및전가산기 ( 3Pages )
실험 5. 반가산기 및 전가산기 1. 목적 (1) 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다. (2) 설계된 회로의 기능측정 2. 이론 다음과 같은 2진수 2개를 더하는 경우에 대해 고찰해 보자. 111 1011+111010 ……… 자리올림수 (Carry) ……… 피가수 (Augend) ……… 가수 (Addend) ……… 합 (Sum) 최하위 비트를 더할 때 자리올림수가 없기 때문에 2개의 진수를 더해서 결과로 합과 ..
리포트 > 공학/기술 |
공학, 기술
1 2 3 4 5 6 7 8 9 10