전체 (검색결과 약 6,300개 중 5페이지)

 남북한 관계요인의 교재화 논리 ( 7Pages )
남북한 관계요인의 교재화 논리 목차 * 남북한 관계요인의 교재화 논리 Ⅰ. 사회구성주의 Ⅱ. 사회과 의사소통학습 모형의 탐색과 통일수업 디자인 * 참고문헌 * 남북한 관계요인의 교재화 논리 I. 사회구성주의 통일교육에 있어서 남북한 관계요인을 교재화하는 방법에는 여 러 가지 학습 논리가 동원될 수 있겠으나 학습자의 통상적인 인식 범 위를 벗어난 논의가 남북한을 둘러싼 국제정세..
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 현대 소비문화의 형성 및 변화논리와 소비자트렌드 ( 9Pages )
현대 소비문화의 형성 및 변화논리와 소비자트렌드 목차 *현대사회에서의 소비문화의 형성 Ⅰ. 현대 소비문화의 형성 및 변화논리 1. 모방과 차이화 2. 준거집단 3. 문화적 다원주의화 4. 쾌락주의 Ⅱ. 현대사회와 소비자트렌드 Ⅲ. 소비행태에 따른 트렌드 1. 감성중심소비 2. 가치소비 3. 맞춤소비 4. 소비의 글로벌화 5. 개성과 개인화 소비 *참고문헌 ...이하 생략(미리보기 참조)
리포트 > 경영/경제 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 [사회복지조사와 과학] 사회복지조사의 과학적 방법(과학적 방법의 기본적 논리, 사회복지에서 과학의 필요성) ( 5Pages )
[사회복지조사와 과학] 사회복지조사의 과학적 방법(과학적 방법의 기본적 논리, 사회복지에서 과학의 필요성)에 대한 레포트 자료. [사회복지조사와 과학] 사회복지조사의 과학적 방법(과학적 방법의 기본적 논리, 사회복지에서 과학의 필요성) CONTENT 사회복지조사와 과학 I. 과학의 개념 II. 과학적 방법의 기본적 논리 1. 연역법 2. 귀납법 3. 연역-귀납법 III. 사회복지에서 과학의 ..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 공압 논리회로 ( 3Pages )
공압논리회로 입니다 레포트자료로 최고 입니다.
정보/기술 > 토목/건축 |
 [디지털공학실험] 기본논리게이트 ( 7Pages )
[디지털공학실험] 기본논리게이트 1. 목 적 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 2. 이 론 디지털 시스템은 “1”과 “0” 두 가지 상태만을 가지는 소자들로 구성되며, 이들의 논리연산에는 부울 대수가 사용된다. 부울 대수의 함수를 논리함수라고 부르고, 논리함수를 실현하는 전기적 스위칭 회로를 논리회로라 하며, 이 중 기본이 되는 간단..
리포트 > 공학/기술 |
 사고와논리-1 ( 39Pages )
사고와 논리 Point 발표 논리적 사고와 논술 ◈ 목차 논리학과 비판적 사고 좋은 글쓰기의 방법 비판적 사고의 9가지 요소 비판적 사고의 9가지 기준 비판적 사고 요소와 기준의 결합 1. 논리학과 비판적인 사고 ◎ 논술 - 주어진 정보를 객관적으로 분석, 파악하고 주어진 문제에 대한 자신의 주장을 정당화 하는 글쓰기 - 논리적인 사고가 기초가 된 글쓰기이며, 다양한 영역에서 필요로 하는 문제..
리포트 > 경영/경제 |
 [기업이론] 거래비용경제학적 접근 -거래비용이론의 논리체계와 비판 ( 10Pages )
[기업이론] 거래비용경제학적 접근 -거래비용이론의 논리체계와 비판 목차 * [기업이론] 거래비용경제학적 접근 Ⅰ. 거래비용이론의 논리체계 Ⅱ. 거래비용이론에 대한 비판과 확장 Ⅲ. 네트워크이론과의 비교 [기업이론] 거래비용경제학적 접근 (1) 거래비용이론의 논리체계 거래비용경제학은 주어진 재산권체계 등의 제도 안에서 경제조직에 관한 계약론적인 접근방식을 통하여 상이한 조직형..
리포트 > 경영/경제 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 [거버넌스의 변화] 거버넌스의 개념과 연구방법 및 공공거버넌스 논리 ( 20Pages )
거버넌스의 변화] 거버넌스의 개념과 연구방법 및 공공거버넌스 논리 목차 * 거버넌스의 변화 Ⅰ. 거버넌스의 의의 1. 거버넌스의 다학제적 해석 2. 거버넌스 특징과 논리 1) R. A. W. Rhodes의 분류와 접근 (1) 최소국가 (2) Corporation으로서 거버넌스 (3) 신공공관리론적(NPM) 거버넌스 (4) 좋은 거버넌스 (5) 사회적-사이버네틱스 체제로서 거버넌스 (6) 자기조직 네트워크로서 거버넌스 (7) Rhod..
리포트 > 인문/어학 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 강한 정치교육의 논리 ( 11Pages )
강한 정치교육의 논리 목차 * 강한 정치교육의 논리 Ⅰ. 참여와 소통 Ⅱ. 구성주의 학습이론 Ⅲ. 사회구성주의 정치학습 * 참고문헌 * 강한 정치교육의 논리 I. 참여와 소통 정치교육은 국가사회에 적합한 시민상의 정립과 정치체계의 안 정과 발전에 기여하는 참여적 소양을 함양하는 것을 기본 성격으로 한다. 이런 관점에서 배찬복(1989)은 정치교육의 성격을 한 국가가 생활공동체를 유지..
리포트 > 사회과학 |
개념 정의설명, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례, 특성 특징 중요성
 보편적 복지를 비판하는 잔여적 복지의 논리와 잔여적 복지의 비판에 대한 보편적 복지의 대응 논리를 서술하시오 ( 5Pages )
성심성의껏 작성한 글이며, 성적은 A+ 이 나왔습니다. 그 이후에도 여러 번 자료를 검토하여 더욱 완성도가 높은 자료로 만들어 냈습니다. 구매하시면 후회하지 않을 것으로 판단됩니다. 1. 서론 2. 본론 1) 보편적 복지를 비판하는 잔여적 복지의 논리와 잔여적 복지의 비판에 대한 보편적 복지의 대응 논리 2) 잔여적 복지와 제도적 복지에 대한 내 생각 3) 윤석열 대통령 당선인의 정책 분석 - 잔여주의..
리포트 > 사회과학 |
보편적 복지와 잔여적 복지, 당선인의 복지정책, 선별적 복지
 비판적사고와논리 ( 12Pages )
비판적 사고와 논리 1. 문제에 대한 결정을 명료하게 하라 점심시간에 식사를 알차게 보내고 싶다. 항상 무엇을 먹어야 할지 어디를 가야 할지 가격은 얼마인지 시간은 얼마나 남았는지에 대해 고민하고 스트레스 받지 말자. 2. 문제해결 대안 모색 헤이루 캘리포니아 스푼 쎌빠 고수 닭갈비 한국관 엄마손 김치찌개 아비꼬 음식점 3. 정보수집 비교분석 3. 정보수집 비교분석 3. 정보수집 ..
리포트 > 사회과학 |
 기업의 사회적 책임과 관련하여 찬성과 반대하는 입장의 논리를 각각 1가지씩 제시하고 본인은 찬성과 반대 중 어떤 입증을 더욱 더 지지하는지에 대해 이유 및 사례를 들어 논하시오 ( 5Pages )
기업의 사회적 책임과 관련하여 찬성과 반대하는 입장의 논리를 각각 1가지씩 제시하고 본인은 찬성과 반대 중 어떤 입증을 더욱 더 지지하는지에 대해 이유 및 사례를 들어 논하시오에 대한 레포트 자료. 기업의 사회적 책임과 관련하여 찬성과 반대하는 입장의 논리를 각각 1가지씩 제시하고 본인은 찬성과 반대 중 어떤 입증을 더욱 더 지지하는지에 대해 이유 및 사례를 들어 논하시오 목차 I. ..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 [디지털논리회로] TTL[Transistor Transistor Logic]에 대해서 ( 21Pages )
TTL(Transistor Transistor Logic) 디지털논리회로 TTL(Transistor-Transistor logic)소자는 디지털회로에서 사용되는 각종 논리용 소자 중에서, 입력을 트랜지스터로 받아들이고, 출력 또한 트랜지스터인 소자를 말한다. TTL의 정의 디지털논리회로 TTL의 특징 가장 많이 사용, 가격 저렴, 동작속도가 빠르다. 팬 아웃(fan-out)이 많이 얻어진다 출력 임피던스도 낮아 현재 가장 품종이 풍부하고 널리 사용..
리포트 > 공학/기술 |
 인터넷기업의 비즈니스모형과 가치창조논리 ( 7Pages )
인터넷기업의 비즈니스모형과 가치창조논리 목차 * 인터넷기업의 가치창조를 위한 비즈니스 모형에 관한 논리적 기초 Ⅰ. 인터넷기업의 비즈니스 모형 Ⅱ. E-비즈니스의 가치창조 논리 1. 기업이론적 접근 2. 핵심개념적 접근 Ⅲ. 인터넷기업의 가치창조 논리와 비즈니스 모형간의 관계 인터넷기업의 가치창조를 위한 비즈니스 모형에 관한 논리적 기초 1. 인터넷기업의 비즈니스 모형 기업의 가치..
리포트 > 경영/경제 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 [디지털논리회로] 4비트 덧셈뺄셈기 회로 구현 ( 4Pages )
기초부터 응용까지 Verilog HDL - 다음은 4비트 덧셈 뺄셈기의 논리 회로 이다. 1. 위의 논리 회로를 Gate level modeling 방법을 사용하여 Verilog 코드를 코딩하시오. Gate level modeling module Add_Subtraction input m; input [3:0] a,b; output [3:0] s; output c,v; wire [4:1] cn ; wire [3:0] n ; xor U1(n[0],m,b[0]); xor U2(n[1],m,b[1]); xor U3(n[2],m,b[2]); xor U4(n[3],m,b[3]); FA U..
리포트 > 공학/기술 |
1 2 3 4 5 6 7 8 9 10