전체 (검색결과 약 4,678개 중 14페이지)

 설계도면표 ( 1Pages )
설계도면표 ═══ 작번: 주번: 발주처 사용장소 관계도면 번호 도면번호 도면명칭 번호 도면번호 도면명칭 1 16 2 17 3 18 4 19 5 20 6 21 7 22 ....
서식 > 회사서식 |
 [디지털 회로설계] 4-Bit D Flip Flop 설계 ( 6Pages )
디지털 회로설계 1. 제목 : 4-Bit D Flip Flop 설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해 본다. 2) 방법 : [1-bit flip flop] (1) 입력이 D, Preset, Clear, Clock이고 출력이 Q, notQ인 1 bit D F/F을 VHDL 언어로 설계한다. (2) 위..
리포트 > 공학/기술 |
 생산·운영관리의 기능(생산시스템의 설계와 운영) ( 6Pages )
생산·운영관리의 기능(생산시스템의 설계와 운영)에 대한 레포트 자료. 생산·운영관리의 기능(생산시스템의 설계와 운영) 목차 생산·운영관리의 기능 I. 생산시스템의 설계 1. 수요예측과 제품설계 2. 공장입지의 선정 3. 공장시설의 배치 1/ 제품별 시설배치 2/ 공정별 시설배치 3/ 고정형 시설배치 4/ 셀룰러 시설배치 II. 생산시스템의 운영 1. 기본생산계획 2. 주생산계획 3. 자재소요..
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 전자회로설계 - 연산증폭기를_이용한_능동_대역_통과_필터설계 ( 23Pages )
연산증폭기를 이용한 능동 대역 통과 필터설계 목 차 1.이론 1.1 능동 필터의 개요 1.1-1 통과대역, 저지대역 1.1-2 저역 차단주파수, 고역 차단주파수 1.1-3 대역폭 1.1-4 전이영역 1.2 저역통과 필터 1.3 고역통과필터 1.4 대역통과 필터 2.연산 증폭기를 이용한 능동 대역통과  필터 설계 2.1 설계주제 및 스펙 2.1-1 설계주제 2.1-2 스펙 2.2 능동 대역 통과 필터 설계 회로도 2.2-1 고..
리포트 > 자연과학 |
 경영 통제시스템의 설계방법(시장통제, 관료주의적 통제, 공동체적 통제) ( 4Pages )
경영 통제시스템의 설계방법(시장통제, 관료주의적 통제, 공동체적 통제) 목차 경영 통제시스템의 설계방법 I. 시장통제 II. 관료주의적 통제 III. 공동체적 통제 * 참고문헌 ...
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 컴퓨터 응용 시스템 설계 실험 보고서 - verilog을 이용한 자판기 설계 ( 5Pages )
Vending Machine Design Ⅰ. 서 론 Not gate와 Nand gate 및 D-Flipflop을 사용하여 Vending Machine을 설계해보고 몇몇개의 옵션사항을 추가적으로 설계해본다. Ⅱ. 본 론 1. (LAB 3-1) Coffee Sprite Vending Machine Design 1$의 입력을 받으며 1$짜리 Coffee와 3$의 Sprite를 선택 할 수 있는 Vending Machine을 설계 해 본다. 가. State에 따른 Segment 및 추가 LED 설계 이번 실험에서 사용된 Segmen..
리포트 > 공학/기술 |
 체제적 수업설계 ISD모형의 요구분석 ( 1Pages )
체제적 수업설계 ISD모형의 요구분석 체제적 수업설계(Instructional Systems Design: ISD)는 어떤 수업목표를 학습자들에게 효율적으로 성취시키기 위하여 수행되어야 할 제반활동과 요소를 계획하는 활동이다. 일반적으로 최종 수업목표의 규정, 학습과제 분석, 출발점 행동의 진단. 수업전략의 결정, 수업매체 선정, 수업설게의 평가 순으로 이루어진다. 전제조건은 개인차를 최대한으로 고려해..
리포트 > 교육학 |
ISD, ISD모형
 대우조선해양 기본설계 직무 첨삭자소서 ( 11Pages )
저는 선박 설계 전문가로서 어떠한 상황에서도 신뢰받는 선박을 만들고 싶습니다. 지난 선박 설계 콘테스트에서 주요 예시. 저는 설계 업무를 수행하는 데 있어서 다음의 두 가지 역량이 가장 필요하다고 생각합니다. 예시. 또한, 선박 설계 전문가로서 어떠한 상황에서도 신뢰받는 선박을 만들고자 노력할 것입니다. 저는 설계업무를 수행하는 데 있어서 다음의 두 가지 역량이 가장 필요하다고 생각합니다..
서식 > 자기소개서 |
설계, 능력, 역량, , 선박, 대한, 산업, 조사, 과제, 기술, 위해, 크다, , 가지, , 선정, 수행, 바이트, 되어다, 수업
 배치설계관리인선임(변경)등록신청서 ( 1Pages )
(접수인란) (결재인란) 담당 심사관 배치설계관리인선임(변경)등록신청서 신청인 (관리인 또는 배치설계권자) 성명 주민등록번호 주소 (전화번호) 국적 배치설계권설정등록번호 반도체집적회로의 명칭 권리의표시 배치설계권자의 표시 (배치설계권자가 신청하는 경우 관리인의 표시) 성명 주민등록번호 주소 (전화번호 국적 대리권의범위 등록원인 및 발생연월일 등록의목적 반도체집적회..
서식 > 행정민원서식 |
 전자회로설계 - 자판기 회로 설계 및 제작 ( 12Pages )
자판기 회로 설계 및 제작 목 차 1. 실험 설계 목적 2. 실험 설계 주제 3. 제품 사양 4. 설계 일정 및 변경 과정 A. 1차 B. 2차 C. 3차 5. 상태도 도출 6. 입력 변수와 상태 변수, 출력 변수 설정 7. 진리표 도출 8. 카르노 맵 간소화 과정 9. 전체 회로도 10. 구성원 역할 11. 동작 매뉴얼 12. 자체 평가 및 반성할 점 1. 실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 ..
리포트 > 공학/기술 |
 단일사례설계의 개념 ( 2Pages )
단일사례설계의 개념 I. 단일사례설계의 개념과 특징 단일사례(연구)설계(single subject design)는 흔히 단일사례(혹은 클라이언트)에 적용되었기 때문에 붙인 명칭이다. 사회복지실천의 효과성을 평가하기 위해 가장 많이 사용되는 설계 방법으로 클라이언트에 대한 즉각적인 연구가 필요할 때나 통제집단을 구하기 어려운 경우 사용될 수 있는 가장 효과적인 방법이다. 클라이언트가 가지고 있는 문제..
리포트 > 경영/경제 |
개념, 정의, 특징, 특성, 과제
 아동 행동수정이론의 연구설계(반전설계법, 복식기초선설계법) ( 4Pages )
아동 행동수정이론의 연구설계(반전설계법, 복식기초선설계법)에 대한 레포트 자료. 아동 행동수정이론의 연구설계(반전설계법, 복식기초선설계법) 목차 아동 행동수정이론의 연구설계 I. 반전 설계법 1. 기초선1 2. 실험처치1 3. 기초선2 4. 실험처치2 5. 사후 점검단계 II. 복식 기초선 설계법 * 참고문헌 ...(이하 내용 생략)
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 기초공학설계 중간결과 보고서 ( 14Pages )
기초공학설계 수업의 report 자료 Ⅰ. 서 론 1.1 연구목적 1.2 연구내용 Ⅱ. 바람개비 회전에 영향을 주는 요인 2.1 바람개비 날갯수 2.2 날개의 피치각 2.3 날개의 모양 및 크기 Ⅲ. 실험결과 및 고찰 3.1 첫 번째 시험 결과 및 고찰 3.2 두 번째 시험 결과 및 고찰 3.3 세 번째 시험 결과 및 고찰 3.4 네 번째 시험 결과 및 고찰 Ⅳ. 결 론 참고문헌 Ⅰ.서론 1.1 연구목적 이번 바람개비 설계..
리포트 > 공학/기술 |
기초공학설계, 기초공학설계 바람개비, 기초공학설계 프로펠러, 기초공학설계 피치각, 기초공학설계 날개, 기초공학설계 보고서, 기초공학설계 중간결과 보고서, 기초공학설계 중간결과, 기초공학설계 실험결과, 기초공학설계 실험고찰
 [전시회 전시조직] 전시조직의 의의와 설계, 구성, 인력조달 ( 10Pages )
[전시회 전시조직] 전시조직의 의의와 설계, 구성, 인력조달 목차 전시조직 Ⅰ. 전시조직의 의의와 설계 1. 전시조직의 의의 2. 전시조직의 설계 Ⅱ. 전시조직의 구성 1. 전시기획팀 2. 운영관리팀 3. 홍보팀 4. 전시 설치팀 Ⅲ. 전시조직의 인력 조달 전시조직 1. 전시조직의 의의와 설계 1) 전시조직의 의의 조직이란 목표를 달성하는 데 필요한 전문화된 활동들을 결정하고, 이 활동들을 어떤..
리포트 > 경영/경제 |
개념 정의설명, 특성 특징 중요성, 문제점 해결방안, 영향요인 실태분석, 비교분석 견해, 개선과제 개념이해, 연구방법 사례
 무인항공기 설계에 관한 보고서 ( 12Pages )
무인항공기설계 1. 설계 목적 2. 실습자재 3. 제작 시 주의사항 4. 제작공정 (동체-주익-미익) 5. 이론 배경 6. 무게중심 및 자세 안정성 7. 비행 실험 결과 8. 보완 사항 9. 실험 후기 1. 설계 목적 : 무인항공기를 설계 하면서 제일 우선 생각했던 것이 체공시간을 길게, 장거리 운항을 염두에 두고 제작을 시작하였다. 그러기 위해 우선 항공기 전체의 무게를 줄일수 있는 방법을 생각하게 ..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20