전체 (검색결과 약 31,115개 중 11페이지)

 생리학 실험보고서-심전도의 이해 ( 19Pages )
생리학 실습 보고서 심 전 도 1. 서론 심전도(electrocradiogram)란 무엇인가 그 원리에 대하여 살펴보자. (1) 심장의 구조 1) 심막(Pericardium) - 크게 외측의 섬유성 심막과 내측의 장막성 심막으로 나뉘는데 장막성 심막은 벽측과 장층으로 나뉘어지고 장층(심상막, 심외막) 안쪽으로 심근층과 심내막이 있다. 또, 장막성 심막의 벽측과 장측사이에는 심막액이 있어 마찰방지 역할을 한다. 2) 심장벽..
리포트 > 의/약학 |
 정보통신 실습 - 부울대수의 정리(결과 보고서) ( 6Pages )
부울대수의 정리 1. 실험 목적 ▣ 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. ▣ 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. ▣ 다양한 논리회로를 부울대수식으로 표현하는 능력을 배양한다. 2. 실험 결과 그림 2-3 AND-OR과 OR-AND 게이트 실험회로 D 표 1 AND-OR과 OR-AND 게이트 실험회로 입력 신호 출력 신호 A B C X Y 0 0 0 155 mV 155.3 mV 0 0 ..
리포트 > 공학/기술 |
 정보통신 실습 - AND_OR_NOT 게이트 실험(결과 보고서) ( 4Pages )
ANDORNOT 게이트 실험 1. 실험 목적 ▣ 논리 게이트인 AND, OR, NOT 게이트의 동작특성을 이해한다. ▣ AND, OR, NOT 게이트의 진리표와 논리식을 실험을 통해 확인한다. 2. 실험 결과 1 : (+), 0 : (-) 표 1 AND, OR, NOT 게이트 실험 데이터 (5V의 전압을 걸어 주었을 때) A B C 전압 0 0 0 4.39 V 0 0 1 155 mV 0 1 0 4.38 V 0 1 1 155.2 mV 1 0 0 4.37 V 1 0 1 4.38 V 1 1 0 154.9 mV 1 1 1 155.3 mV ..
리포트 > 공학/기술 |
 (성심병원) 사회복지 현장실습 보고서, 의료사회사업 사례개입 1case 수록 ( 6Pages )
(성심병원) 사회복지 현장실습 보고서, 사례 1case 수록 보고서 입니다. Ⅰ. 사례요약 본 사례는 상앙동악성신생물(비강암) 수술을 받고 퇴원한 환자가 다시 암이 재발하여 경제적 어려움을 호소하여 의료사회복지사가 치료비마련과 퇴원계획을 위해 개입 한 사례이다. Ⅰ. 기관소개 Ⅱ. 실습내용 Ⅰ. 사례요약 Ⅱ. 자료수집단계 Ⅲ. 사정 Ⅳ. 계약 및 개입단계 Ⅰ. 사례요약 본 사례는 2008년 9월 상앙동악성신..
리포트 > 사회과학 |
성심병원, 병원현장실습, 의료사회사업사례, 병원사례개입, 현장실습보고서
 학습자료개발결과보고서 ( 1Pages )
학습자료개발 결과 보고서 학습자료명 학습자료의 구분 수업용 교재 (), 향상교육 교재 (), 실험실습지침서( ) 사이버 강의 (), 디지털 교재 (),기타() 연구책임자 학과 직급 성명 공동 연구자 소속 직급 성명 출판사명 (해당되는 경우) 발행일 관련 교과목명 학년/학기 / 학습자료의 활용 목적 학습자료의 개요 위와 같이 주문식교육 학습자료개발 ..
서식 > 학교서식 |
 전기전자 실험 - 브리지정류회로 결과 보고서 ( 5Pages )
실습목적 -정류다이오드를 이용하여 브리지 정류회로를 구성하고 다이오드 입출력 전압을 비교하여 다이오드의 특성을 파악하고, 정류회로의 기능을 이해한다. 또한, 브리지 정류회로의 장단점을 확인 하여 본다. ◉정류회로의 동작원리 -다이오드는 다이오드 양단에 순방향 바이어스에서는 도통되고 역방향 바이어스에서는 회로를 차단하는 성질을 가지고 있다. 즉, 한쪽방향으로만 전류를 흘려주는 성..
리포트 > 공학/기술 |
 청소년회관기관분석보고서 ( 5Pages )
기관분석보고서 작성일 실습기관 관악청소년회관 실습실습지도자 임금순 실 습 기 관 운 영 주 체 기관(시설)명 관악청소년회관 법 인 명 온터두레회 소 재 지 서울특별시 관악구 신림9동 1522-1 관악청소년회관 소 재 지 서울특별시 서초구 잠원동39-15호 은양빌딩 301호 대 표 임동석 대 표 한숙희 사 업 종 별 비영리법인 종교적 배경 기독교 설 치 근 거 사회..
리포트 > 교육학 |
청소년회관, 청소년기관, 청소년회관기관, 청소년회관 분석
 용접 실습 보고서 - 용접의 개념 및 원리와 분류 및 용도 ( 6Pages )
1. 제목 : 용접의 개념 및 원리와 분류 및 용도 2. 목적 : 용접의 개념 및 원리와 분류 및 용도에 대하여 알아본다. 3. 이론적 배경 재료를 영구히 결합하는 데는 다양한 방법이 사용된다. 용접은 열과 압력으로 얻어지는 결합에 의해 금속을 접합하는 과정이다. 또한, 용접에서는 원자 사이에서의 인력에 의한 야금학적 결합이 일어나지만, 원자가 서로 결합되기 위하여 접촉면 위의 흡수된 증기와 산화..
리포트 > 공학/기술 |
 정보통신 실습 - 옴의 법칙 및 키르히호프의 법칙(결과 보고서) ( 4Pages )
옴의 법칙 및 키르히호프의 법칙 1. 실험 목적  ▣ 회로상에서 전류, 전압 그리고 저항과의 관계(옴의 법칙)를 실험적으로 증명해 본다.  ▣ 직렬, 병렬 회로에서의 키르히호프의 법칙을 실험을 통해 확인해 본다. 2. 실험 결과 저항표 색상 검정 갈색 빨강 주황 노랑 초록 파랑 보라 회색 백색 금색 은색 무색 첫째수 0 1 2 3 4 5 6 7 8 9 둘째수 0 1 2 3 4 5 6 7 8 9 셋째수 1 10 102 103 104 105 1..
리포트 > 공학/기술 |
 어린이집 8월~9월 3주간 보육실습일지(보육실습기관현황, 주간보육계획안, 실습생소감, 실습평가 ( 23Pages )
직접 작성한 일지입니다. 잘되어서 뿌듯하고 학점도 잘 받았습니다. 1. 실습기관 현황 2. 월간계획표(전체) 3. 식단 4. 보육실습일지 5. 실습보고서 - 설립 배경과 특성 부모들이 마음놓고 아이들을 맡길 수 있고, 아이들이 제대로 생활하고 교육받을 수 있는 보육시설은 어떻게 하면 가능할까? 이러한 고민으로부터 출발한 것이 공동육아 어린이집이다. 기존의 관료화된 국공립 보육시설과 영리를 추구하는..
리포트 > 사회과학 |
보육실습, 보육실습일지, 어린이집실습, 주간보육계획안, 일일보육실습일지, 지도교사평가, 실습평가보고서, 보육현장실습
 기계공작실습 - 선반 실험 보고서 ( 12Pages )
1. 선반에 의한 절삭작업 선반은 가공물에 회전운동을, 그리고 공구인 바이트(gingle point tool 또는 formed tool)에 필요한 절삭깊이(depth of cut)와 피드(feed)를 주어 旋削(turning)을 하는 공작기계로서 기계 제작 공장에서 가장 많은 비율을 차지하고 있다. 선반에서 할 수 있는 작업에는 원통깎기(turning)-a, 보오링(boring)-a , 단면깎기(facing)-b, 절단 작업(parting)-b , 테이퍼 깎기(taperin..
리포트 > 공학/기술 |
 기계공작실습 - 밀링 실험 보고서 ( 8Pages )
▶ 밀링머신의 개요 1. 밀링머신의 작업 종류 그림 1 밀링 머신 - 밀링머신은 원주 위에 절삭날이 등간격으로 배치되어 있는 커터를 회전시켜 공작물이 고정된 테이블을 이 송하면서 가공하는 공작기계이다. - 테이블은 길이, 전후, 상하 방향으로 이송을 하며 수 평면 상에서 선회하는 형식도 있다. - 밀링머신의 사용범위는 대단히 넓으며,평면 및 윤곽 표면을 정확히 가공할 수 있다. 이밖에 홈, 측..
리포트 > 공학/기술 |
 사회복지기관분석보고서_청소년회관기관분석보고 ( 5Pages )
사회복지기관분석보고서 작성일 실습기관 관악청소년회관 실습실습지도자 임금순 실 습 기 관 운 영 주 체 기관(시설)명 관악청소년회관 법 인 명 온터두레회 소 재 지 서울특별시 관악구 신림9동 1522-1 관악청소년회관 소 재 지 서울특별시 서초구 잠원동39-15호 은양빌딩 301호 대 표 임동석 대 표 한숙희 사 업 종 별 비영리법인 종교적 배경 기독교 설 치 근 ..
리포트 > 생활/환경 |
사회복지기관분석보고서, 청소년회관기관분석보고
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 정보통신 실습 - 드 모르간의 법칙(결과 보고서) ( 5Pages )
드 모르간의 법칙 1. 실험 목적 ▣ 드 모르간 법칙을 소자를 이용하여 실험적으로 증명한다. ▣ 드 모르간 법칙을 이용하여 부울대수 변환 및 논리회로를 간소화하는 능력을 익힌다. ▣ 논리소자의 동작을 이해한다. 2. 실험 결과 그림 3-7 NAND-NOT-NOR 게이트를 이용한 실험회로 D 표 3-5 실험결과 A B (A*B) A B X Y 0 0 1 1 1 155 mV 155.3 mV 0 1 1 1 0 154.9 mV 155.2 mV 1 0 1 0 1 155.3 mV 155 m..
리포트 > 공학/기술 |
11 12 13 14 15 16 17 18 19 20