전체 (검색결과 약 1,608개 중 9페이지)

 논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 ( 13Pages )
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 : ps_start - 종료버튼 : ps_end - 수정버튼 : ps_mod - 비밀번호 : ps_num ② 출력 - 문 열림 : door_open - 알람 : alarm ③ 상태 -ready -in..
리포트 > 자연과학 |
 verilog 시계[디지털 논리 회로] ( 15Pages )
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) [전체 시간모듈이지만 1분까지만 코딩하였습니다.] ㉮기본 시간 모듈 timescale 100ns/1ns module timer_go (c1k,reset,comma_a,sec_b,sec_a,min_b,min_a,hour_b,hour_a,night_a,c1k_b,c1k_c); input c1k,reset; output [5:0] comma_a, sec_b, min_b, hour_b; output [4:0] sec_a, min_a; output [2:0] hour_a; output [3:0] night_..
리포트 > 공학/기술 |
 체계이론 ( 10Pages )
체계이론에 대한 레포트 자료. 체계이론 목차 체계이론 I. 인간발달에 대한 맥락적 이해 II. 체계의 개념 III. 체계의 유형 IV. 체계론적 사고의 기본 논리 * 참고문헌 ...(이하 내용 생략)
리포트 > 사회과학 |
개념, 정의, 특징, 과제
 시장개방의 논리와 장점,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p ( 33Pages )
시장개방의 논리와 장점 세계의 커피 소비량 [출처: 세계커피기구(ICO) ,2010년] (단위: kg) 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 북위 25도 남위 25도 Coffe Belt 커피원두의 종류 세계의 커피 생산량 [출처: 세계커피기구(ICO) ,2010년] 경기도 부천역점 이마트 커피코너 베트남커피 영향력 [출처: Oxfam ICARD, 2001] 베트남커..
리포트 > 경영/경제 |
 21세기조직통합모형에관한이론적고찰 ( 34Pages )
21세기 조직의 통합모형에 관한 이론적 고찰* Theoritical Review of the intergrated Model for 21C Organization Ⅰ. 서론 Ⅱ.팀 조직 Ⅲ. 프로세스 조직 Ⅳ. 네트워크 조직 Ⅴ. 학습조직 Ⅵ. 미래 조직모델의 비교 Ⅰ. 서론 전통적 조직설계 논리는 과거의 안정적인 기업환경에서는 효과적인 설계 원리였으나, 오늘날 처럼 불확실한 상황에서의 조직설계 논리로 적용하기에는 많은 한계점을 가지고 있다. ..
리포트 > 경영/경제 |
 전자공학 논리회로 실험 - Logic 연산과 Gates ( 6Pages )
Logic 연산과 Gates 1. Diode logic 연산 ☞ 학습목표 AND, OR diode circuit 의 특성을 diode logic 연산으로 알아보자. ☞ 실험 1. AND gate AB A·B 5V 5V 5.15V 5V 0V 0.64V 0V 5V 0.64V 0V 0V 0.64V 2. OR gate AB A+B 5V 5V 4.54V 5V 0V 4.51V 0V 5V 4.51V 0V 0V 0V 오차 및 결과 오차 : And Input에 거짓=0V가 걸리면 Output에 거짓=0V가 걸려 야하나. Diode 전압강하 특징으로 0.64V의 전..
리포트 > 공학/기술 |
 논리회로 - 플립플롭 ( 18Pages )
플 립 플 롭 플립플롭과 래치는 두개의 안정된 상태 중 하나를 가지는 1비트 기억소자 플립-플롭 클럭신호가 Rising 할 때만 출력값이 변함. Edge-triggered 방식으로 동작 래치 Enable 제어신호가 ‘1’인 동안에 SR입력이 변화하면 이에 따라 출력(Q)값이 변함 Level-triggered 방식으로 동작함 보통 플립플롭과 래치를 통틀어 플립플롭이라 하는 경우도 있다. 플립플롭 : 동기식 플립플롭 래 치 : 비..
리포트 > 공학/기술 |
 논리회로 설계- 디코더, 인코더에 대해서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 나쁜 사마리아 인들을 읽고 줄거리 요약분석 및 감상문 느낀점 과 나의소감 ( 3Pages )
Report ( 나쁜 사마리아 인들을 읽고 줄거리 요약분석 및 감상문 느낀점 과 나의소감 ) “나쁜 사마리아 인들“/ 지은이 장하준 저자 장하준 교수는 소위 신자유주의으 이념을 개구리들이 올챙이 수탁을 위해 조작한 논리로 파악한다 올챙이가 개구리가 되더니 자신의 저략과 경혐을 그리대로 기술핮 않고 진실이 아닌 조작된 논리를 만들어낸 후 이를 현재의 올챙이들에게 가용하고 있다는 것이다 따라서 ..
리포트 > 독후감/서평 |
 논리회로 설계 - 디코더 인코어 보고서 ( 6Pages )
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling library ieee; use ieee.std_logic_1164.all; entity decoder is port (x : in std_logic_vector(2 downto 0); d : out std_logic_vector(7 downto 0)); end decoder; architecture behavioral of decoder is begin process (x) begi..
리포트 > 공학/기술 |
 한국기업의 글로벌경영전략 ( 21Pages )
한국기업의 세계화에 따른 글로벌 경영전략에 대안을 정리한 보고서 세계화에 따른 한국 기업의 글로벌 경영전략. 목차 Ⅰ.서론 Ⅱ.본론   1. 글로벌 경영 전략의 종류   2. 글로벌 지식경영/전략   3. 한국 기업의 글로벌 경영전략 사례 가. LG 7대 신경영패러다임 나. 삼성전관의 글로벌경영전략 다. 국내외 미디어업계의 글로벌전략 Ⅰ.서론  글로벌 스탠더드(Global Standard)란 용어가 ..
비지니스 > 경영관리 |
 [컴퓨터공학] 논리회로 CSA (Carry Select Adder) Design and Simulation ( 21Pages )
CSA (Carry Select Adder) Design and Simulation Contents 2 1. Carry-Save Number Representation 3 2. An Outline of Adder 3 2.1 Ripple Carry Adder 3 2.2 CLA (Carry Look Ahead Adder) 4 2.3 CSA (Carry Select Adder) 5 3. An Outline of CSA 6 4. A Specific Logic Design 7 4.1 Full Adder of 1 bit 7 4.2 Ripple Carry Adder of 4-bits 7 4.3 Multiplexer 8 4.4 Put Together and Merg..
리포트 > 공학/기술 |
 [경영진단접근방법] 경영진단의 접근방법 ( 4Pages )
[경영진단접근방법] 경영진단의 접근방법 목차 경영진단의 접근방법 Ⅰ. 종합적 접근방법 Ⅱ. 시스템적 접근방법 Ⅲ. 징후적 접근방법 Ⅳ. 인과적 접근방법 Ⅴ. 상황적 접근방법 Ⅵ. 사회, 생태학적 접근방법 경영진단의 접근방법 경영진단은 진단의 논리를 기본으로 하고, 경영의 논리와의 결합이 필요하고, 그 접근방법은 다음과 같이 6개의 방법으로 열거하여 설명하기로 한다. 1. 종합적 접근방..
리포트 > 경영/경제 |
개념 정의, 특성 특징 중요성, 문제점해결방안, 영향요인, 개선과제
 건전한 기업윤리 확보를 위한 경제학적 접근법 ( 3Pages )
건전한 기업윤리 확보를 위한 경제학적 접근법 1. 윤리적 행동의 근거 제공 경제논리는 비윤리적인 행동을 부추기거나 묵인하는 것이 아니라 윤리적인 행동이 효율적임을 보여주어 윤리적 행동의 이론적 근거를 제공한다. 따라서, 비윤리적인 행동에 대한 변명으로 경제논리 또는 경쟁시장논리를 끌어들이는 것은 무식의 소치이거나 낯이 두껍기 때문이다. 경제학의 인간관에 의하면 인간은 자기자신의 이..
리포트 > 경영/경제 |
 공기업의노동관계,공기업노동기본권보장,공기업단결권,단체교섭권,단체행동권 ( 42Pages )
공기업론 공기업의 노동관계 CONTENTS LIST CONTENTS LIST 상철 식도 검색 공기업의 노동관계 2 공기업의 노동관계 공기 업론 검색 제 1절 공기업 노동기본권 보장의 논리 공기업 노동기본권 보장의 논리 1 검색 1 공기업 노동기본권 보장의 의의 공무원 및 공기업 직원에 대해서 노동기본권을 전면적으로 인정하지 않거나 제한 단결권 단체교섭권 단체행동권 노동기본권 공기업 노동기본권 보장의 논리 1 ..
리포트 > 경영/경제 |
1 2 3 4 5 6 7 8 9 10